Nothing Special   »   [go: up one dir, main page]

skip to main content
Skip header Section
Introduction to VLSI SystemsNovember 1979
Publisher:
  • Addison-Wesley Longman Publishing Co., Inc.
  • 75 Arlington Street, Suite 300 Boston, MA
  • United States
ISBN:978-0-201-04358-7
Published:01 November 1979
Pages:
396
Skip Bibliometrics Section
Reflects downloads up to 24 Sep 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. ACM
    Abbaszadeh M and How D From Topology to Realization in FPGA/VPR Routing Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, (85-96)
  2. ACM
    González J, Stathis D and Hemani A Synthesis of predictable global NoC by abutment in synchoros VLSI design Proceedings of the 15th IEEE/ACM International Symposium on Networks-on-Chip, (61-66)
  3. Chen G, Zhang J, Zhu Z, Jiang Q, Jiang H and Pang C (2021). CRState: checkpoint/restart of OpenCL program for in-kernel applications, The Journal of Supercomputing, 77:6, (5426-5467), Online publication date: 1-Jun-2021.
  4. ACM
    Crites B, Kong K and Brisk P (2019). Directed Placement for mVLSI Devices, ACM Journal on Emerging Technologies in Computing Systems, 16:2, (1-26), Online publication date: 30-Apr-2020.
  5. Jung K, Kim K, Song S and Park K (2019). Effects of double SiO (x < 2) ultra-thin layers on bipolar resistive switching of ZnO-based nonvolatile memory, Microelectronic Engineering, 216:C, Online publication date: 15-Aug-2019.
  6. ACM
    Khasawneh M and Madden P HydraRoute Proceedings of the 2019 Great Lakes Symposium on VLSI, (177-182)
  7. ACM
    Crites B, Kong K and Brisk P (2017). Diagonal Component Expansion for Flow-Layer Placement of Flow-Based Microfluidic Biochips, ACM Transactions on Embedded Computing Systems, 16:5s, (1-18), Online publication date: 31-Oct-2017.
  8. Boahen K (2017). A Neuromorph's Prospectus, Computing in Science and Engineering, 19:2, (14-28), Online publication date: 1-Mar-2017.
  9. Katam N, Shafaei A and Pedram M Design of multiple fanout clock distribution network for rapid single flux quantum technology 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), (384-389)
  10. Koranne S (2015). DÉJÀ VU: An Entropy Reduced Hash Function for VLSI Layout Databases, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34:11, (1798-1807), Online publication date: 1-Nov-2015.
  11. Bobba S and De Micheli G (2015). Layout Technique for Double-Gate Silicon Nanowire FETs With an Efficient Sea-of-Tiles Architecture, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23:10, (2103-2115), Online publication date: 1-Oct-2015.
  12. Bloem R, Könighofer B, Könighofer R and Wang C Shield Synthesis Proceedings of the 21st International Conference on Tools and Algorithms for the Construction and Analysis of Systems - Volume 9035, (533-548)
  13. ACM
    Oostindie M, Zelnik C and Berkens M Design Rule Management and its Applications in 15nm FreePDK Technology Proceedings of the 2015 Symposium on International Symposium on Physical Design, (179-183)
  14. Grover P (2015). Information Friction and Its Implications on Minimum Energy Required for Communication, IEEE Transactions on Information Theory, 61:2, (895-907), Online publication date: 1-Feb-2015.
  15. ACM
    Sinha R, Girault A, Goessler G and Roop P (2014). A Formal Approach to Incremental Converter Synthesis for System-on-Chip Design, ACM Transactions on Design Automation of Electronic Systems, 20:1, (1-30), Online publication date: 18-Nov-2014.
  16. ACM
    Bobba S, Zhang J, Gaillardon P, Wong H, Mitra S and Micheli G (2014). System Level Benchmarking with Yield-Enhanced Standard Cell Library for Carbon Nanotube VLSI Circuits, ACM Journal on Emerging Technologies in Computing Systems, 10:4, (1-19), Online publication date: 1-May-2014.
  17. ACM
    Gammie P (2013). Synchronous digital circuits as functional programs, ACM Computing Surveys, 46:2, (1-27), Online publication date: 1-Nov-2013.
  18. ACM
    Peper F, Lee J, Carmona J, Cortadella J and Morita K (2013). Brownian Circuits, ACM Journal on Emerging Technologies in Computing Systems, 9:1, (1-24), Online publication date: 1-Feb-2013.
  19. Park D and Kim T Discrete-event system-on-a-chip with universal event tracer and floating-point synchronizer for interoperation of a DEVS simulator and an on-chip debugger Proceedings of the 2012 Symposium on Theory of Modeling and Simulation - DEVS Integrative M&S Symposium, (1-8)
  20. Baldwin C and von Hippel E (2011). Modeling a Paradigm Shift, Organization Science, 22:6, (1399-1417), Online publication date: 1-Dec-2011.
  21. Fu B (2011). Theory and application of width bounded geometric separators, Journal of Computer and System Sciences, 77:2, (379-392), Online publication date: 1-Mar-2011.
  22. Conway L IBM-ACS Dependable and Historic Computing, (185-224)
  23. Lin T, Truong T, Chen S, Wang L and Cheng T (2010). Simplified 2-D cubic spline interpolation scheme using direct computation algorithm, IEEE Transactions on Image Processing, 19:11, (2913-2923), Online publication date: 1-Nov-2010.
  24. Bäumler S, Balser M, Nafz F, Reif W and Schellhorn G (2010). Interactive verification of concurrent systems using symbolic execution, AI Communications, 23:2-3, (285-307), Online publication date: 1-Apr-2010.
  25. Yan C and Greenstreet M Verifying an arbiter circuit Proceedings of the 2008 International Conference on Formal Methods in Computer-Aided Design, (1-9)
  26. Gao P, McConaghy T and Gielen G Importance sampled circuit learning ensembles for robust analog IC design Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design, (396-399)
  27. ACM
    Tseng I and Postula A (2008). Partitioning parameterized 45-degree polygons with constraint programming, ACM Transactions on Design Automation of Electronic Systems, 13:3, (1-29), Online publication date: 1-Jul-2008.
  28. Franzblau D and Xenakis G (2008). An algorithm for the difference between set covers, Discrete Applied Mathematics, 156:10, (1623-1632), Online publication date: 1-May-2008.
  29. ACM
    Diamond J, Robatmili B, Keckler S, van de Geijn R, Goto K and Burger D High performance dense linear algebra on a spatially distributed processor Proceedings of the 13th ACM SIGPLAN Symposium on Principles and practice of parallel programming, (63-72)
  30. Pan D and Cho M Synergistic physical synthesis for manufacturability and variability in 45nm designs and beyond Proceedings of the 2008 Asia and South Pacific Design Automation Conference, (220-225)
  31. Singh M and Nowick S (2007). The design of high-performance dynamic asynchronous pipelines, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:11, (1270-1283), Online publication date: 1-Nov-2007.
  32. Ibrahim W (2007). A Novel EDA Tool for VLSI Test Vectors Management, Journal of Electronic Testing: Theory and Applications, 23:5, (421-434), Online publication date: 1-Oct-2007.
  33. Granados S, Ros E, Rodríguez R and Díaz J Visual processing platform based on artificial retinas Proceedings of the 9th international work conference on Artificial neural networks, (506-513)
  34. ACM
    Yang S and Greenstreet M Simulating improbable events Proceedings of the 44th annual Design Automation Conference, (154-157)
  35. Yang S and Greenstreet M Computing synchronizer failure probabilities Proceedings of the conference on Design, automation and test in Europe, (1361-1366)
  36. Albers A, Burkardt N, Deigendesch T and Marz J (2007). Micro-specific design flow for tool-based microtechnologies, Microsystem Technologies, 13:3-4, (305-310), Online publication date: 1-Feb-2007.
  37. Fürer M and Kasiviswanathan S Approximate distance queries in disk graphs Proceedings of the 4th international conference on Approximation and Online Algorithms, (174-187)
  38. ACM
    Strukov D and Likharev K A reconfigurable architecture for hybrid CMOS/Nanodevice circuits Proceedings of the 2006 ACM/SIGDA 14th international symposium on Field programmable gate arrays, (131-140)
  39. Gupta P Algorithms for range-aggregate query problems involving geometric aggregation operations Proceedings of the 16th international conference on Algorithms and Computation, (892-901)
  40. Glesner M, Hinkelmann H, Hollstein T, Indrusiak L, Murgan T, Obeid A, Petrov M, Pionteck T and Zipf P Reconfigurable embedded systems Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation, (12-21)
  41. ACM
    Frank M Introduction to reversible computing Proceedings of the 2nd conference on Computing frontiers, (385-390)
  42. Mukherjee A Computational biology – the new frontier of computer science Proceedings of the 6th international conference on Distributed Computing, (204-218)
  43. Basu S t-UNITY – a formal framework for modeling and reasoning about timing constraints in real-time systems Proceedings of the 6th international conference on Distributed Computing, (138-143)
  44. Lavin M, Heng F and Northrop G Backend CAD flows for "restrictive design rules" Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (739-746)
  45. Amin C, Dartu F and Ismail Y Modeling unbuffered latches for timing analysis Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (254-260)
  46. ACM
    Melek L, Schneider M and Galup-Montoro C Body-bias compensation technique for SubThreshold CMOS static logic gates Proceedings of the 17th symposium on Integrated circuits and system design, (267-272)
  47. ACM
    Zipf P, Hinkelmann H, Ashraf A and Glesner M A switch architecture and signal synchronization for GALS system-on-chips Proceedings of the 17th symposium on Integrated circuits and system design, (210-215)
  48. ACM
    Coron J, Naccache D and Kocher P (2004). Statistics and secret leakage, ACM Transactions on Embedded Computing Systems, 3:3, (492-508), Online publication date: 1-Aug-2004.
  49. ACM
    Gao J and Zhang L Tradeoffs between stretch factor and load balancing ratio in routing on growth restricted graphs Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing, (189-196)
  50. ACM
    Bastani A and Zukowski C Design of superbuffers in sub-100nm CMOS technologies with significant gate leakage Proceedings of the 14th ACM Great Lakes symposium on VLSI, (119-122)
  51. Djamegni C (2004). Mapping rectangular mesh algorithms onto asymptotically space-optimal arrays, Journal of Parallel and Distributed Computing, 64:3, (345-359), Online publication date: 1-Mar-2004.
  52. Fang F and Zhu J Automatic process migration of datapath hard IP libraries Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (887-892)
  53. Niemier M and Kogge P Origins and motivations for design rules in QCA Nano, quantum and molecular computing, (267-293)
  54. Lamport L (2003). Arbitration-free synchronization, Distributed Computing, 16:2-3, (219-237), Online publication date: 1-Sep-2003.
  55. Thorp T, Liu D and Trivedi P (2003). Analysis of blocking dynamic circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 11:4, (744-749), Online publication date: 1-Aug-2003.
  56. ACM
    Gao J and Zhang L Well-separated pair decomposition for the unit-disk graph metric and its applications Proceedings of the thirty-fifth annual ACM symposium on Theory of computing, (483-492)
  57. Kuang W and Yuan J An Adaptive Supply-Voltage Scheme for Low Power Self-Timed CMOS Digital Design Proceedings of the 16th International Conference on VLSI Design
  58. Ravikumar C, Kakkar N and Chopra S Mutual Testing based on Wavelet Transforms Proceedings of the 16th International Conference on VLSI Design
  59. Chakravarty S Computer circuitry Encyclopedia of Computer Science, (339-348)
  60. ACM
    Pénzes P, Nyström M and Martin A Transistor sizing of energy-delay--efficient circuits Proceedings of the 8th ACM/IEEE international workshop on Timing issues in the specification and synthesis of digital systems, (126-133)
  61. Amos M, Paun G, Rozenberg G and Salomaa A (2002). Topics in the theory of DNA computing, Theoretical Computer Science, 287:1, (3-38), Online publication date: 25-Sep-2002.
  62. ACM
    Niemier M and Kogge P Teaching students computer architecture for new, nanotechnologies Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture, (4-es)
  63. Theodoropoulos G (2002). Distributed Simulation of Asynchronous Hardware, Journal of Parallel and Distributed Computing, 62:4, (622-655), Online publication date: 1-Apr-2002.
  64. Sugawara T, Miyanaga Y and Yoshida N A Design of Analog C-Matrix Circuits used for Signal/Data Processing Proceedings of the 2002 Asia and South Pacific Design Automation Conference
  65. Berman P, DasGupta B and Muthukrishnan S Slice and dice Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms, (455-464)
  66. ACM
    Quintana J, Avedillo M, Jiménez R and Rodríguez-Villegas E Practical low-cost CPL implementations threshold logic functions Proceedings of the 11th Great Lakes symposium on VLSI, (139-144)
  67. Antonsson E Microsystem design synthesis Formal engineering design synthesis, (126-169)
  68. March L Foreword Formal engineering design synthesis, (.11-.13)
  69. Brooks D, Bose P, Schuster S, Jacobson H, Kudva P, Buyuktosunoglu A, Wellman J, Zyuban V, Gupta M and Cook P (2000). Power-Aware Microarchitecture, IEEE Micro, 20:6, (26-44), Online publication date: 1-Nov-2000.
  70. Brzozowski J and Zhang H (2000). Delay-Insensitivity and Semi-Modularity, Formal Methods in System Design, 16:2, (191-218), Online publication date: 1-Mar-2000.
  71. Chen G and Lau F (2000). Tighter Layouts of the Cube-Connected Cycles, IEEE Transactions on Parallel and Distributed Systems, 11:2, (182-191), Online publication date: 1-Feb-2000.
  72. Chatterjee M, Banerjee S and Pradhan D (2000). Buffer Assignment Algorithms on Data Driven ASICs, IEEE Transactions on Computers, 49:1, (16-32), Online publication date: 1-Jan-2000.
  73. Rezvani P, Ajami A, Pedram M and Savoj H LEOPARD Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (516-519)
  74. Gibson D and Purdy C (1999). Extracting Behavioral Data from Physical Descriptions of MEMS for Simulation, Analog Integrated Circuits and Signal Processing, 20:3, (227-238), Online publication date: 1-Sep-1999.
  75. Mu F and Svensson C (1999). Vector Transfer by Self-Tested Self-Synchronization for Parallel Systems, IEEE Transactions on Parallel and Distributed Systems, 10:8, (769-780), Online publication date: 1-Aug-1999.
  76. Sarpeshkar R (1998). Analog versus digital, Neural Computation, 10:7, (1601-1638), Online publication date: 1-Oct-1998.
  77. Kapur D and Subramaniam M (1998). Mechanical Verification of Adder Circuits using Rewrite RuleLaboratory, Formal Methods in System Design, 13:2, (127-158), Online publication date: 1-Sep-1998.
  78. Akella V, Vaidya N and Redinbo G (1998). Asynchronous Comparison-Based Decoders for Delay-Insensitive Codes, IEEE Transactions on Computers, 47:7, (802-811), Online publication date: 1-Jul-1998.
  79. Hirschberg J, Dahle D, Karplus K, Speck D and Hughey R (1998). Kestrel, Journal of VLSI Signal Processing Systems, 19:2, (115-126), Online publication date: 1-Jul-1998.
  80. Yeandel J, Thulborn D and Jones S (1998). The Design and Implementation of an On-Line Testable UART, Journal of Electronic Testing: Theory and Applications, 12:3, (187-198), Online publication date: 1-Jun-1998.
  81. Zimmermann K and Achtziger W (1998). On Time Optimal Implementation of Uniform Recurrences onto Array Processors via Quadratic Programming, Journal of VLSI Signal Processing Systems, 19:1, (19-38), Online publication date: 1-May-1998.
  82. ACM
    Anderson J and Brown S An LPGA with foldable PLA-style logic blocks Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays, (244-252)
  83. Katayama Y (1997). Trends in Semiconductor Memories, IEEE Micro, 17:6, (10-17), Online publication date: 1-Nov-1997.
  84. Wang H, Sun T and Yang Q (1997). Minimizing Area Cost of On-Chip Cache Memories by Caching Address Tags, IEEE Transactions on Computers, 46:11, (1187-1201), Online publication date: 1-Nov-1997.
  85. Rosenberg A, Scarano V and Sitaaman R (1997). The Reconfigurable Ring of Processors, IEEE Transactions on Computers, 46:10, (1119-1131), Online publication date: 1-Oct-1997.
  86. Dahle D, Hirschberg J, Karplus K, Keller H, Rice E, Speck D, Williams D and Hughey R Kestrel Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
  87. Zimmermann K (1997). A Unifying Lattice-Based Approach for the Partitioning of Systolic Arrays via LPGS and LSGP, Journal of VLSI Signal Processing Systems, 17:1, (21-41), Online publication date: 1-Sep-1997.
  88. ACM
    Banna S, Chan P, Chan M, Fung S and Ko P Fully depleted CMOS/SOI device design guidelines for low power applications Proceedings of the 1997 international symposium on Low power electronics and design, (301-306)
  89. Drolshagen A, Henkelmann H and Anheier W Processor Elements for the Standard Cell Implementation of Residue Number Systems Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors
  90. Gaj K, Friedman E and Feldman M (1997). Timing of Multi-Gigahertz Rapid Single Flux Quantum Digital Circuits, Journal of VLSI Signal Processing Systems, 16:2-3, (247-276), Online publication date: 1-Jul-1997.
  91. ACM
    Zhou D and Liu X Minimization of chip size and power consumption of high-speed VLSI buffers Proceedings of the 1997 international symposium on Physical design, (186-191)
  92. Woods J, Furber S, Garside J, Temple S, Day P and Paver N (1997). AMULET1, IEEE Transactions on Computers, 46:4, (385-398), Online publication date: 1-Apr-1997.
  93. Berman P and DasGupta B (1997). Complexities of efficient solutions of rectilinear polygon cover problems, Algorithmica, 17:4, (331-356), Online publication date: 1-Apr-1997.
  94. Zimmermann K and Achtziger W (1997). Finding Space-Time Transformations for Uniform Recurrences viaBranching Parametric Linear Programming, Journal of VLSI Signal Processing Systems, 15:3, (259-274), Online publication date: 1-Mar-1997.
  95. ACM
    Ishii A, Leiserson C and Papaefthymiou M (1997). Optimizing two-phase, level-clocked circuitry, Journal of the ACM, 44:1, (148-199), Online publication date: 15-Jan-1997.
  96. Emerson K Asynchronous Design - An Interesting Alternative Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  97. Swamy G Formal Verification of Digital Systems Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
  98. Olariu S and Zomaya A (1996). A Time- and Cost-Optimal Algorithm for Interlocking Sets-With Applications, IEEE Transactions on Parallel and Distributed Systems, 7:10, (1009-1025), Online publication date: 1-Oct-1996.
  99. Tredennick N (1996). Microprocessor-Based Computers, Computer, 29:10, (27-37), Online publication date: 1-Oct-1996.
  100. Rai S and Kirpalani V (1996). A Modified TRAM Architecture, IEEE Transactions on Computers, 45:8, (969-974), Online publication date: 1-Aug-1996.
  101. MacPherson K and Banerjee P (1996). Parallel Algorithms for VLSI Layout Verification, Journal of Parallel and Distributed Computing, 36:2, (156-172), Online publication date: 1-Aug-1996.
  102. ACM
    Patel J and DeWitt D (1996). Partition based spatial-merge join, ACM SIGMOD Record, 25:2, (259-270), Online publication date: 1-Jun-1996.
  103. ACM
    Patel J and DeWitt D Partition based spatial-merge join Proceedings of the 1996 ACM SIGMOD international conference on Management of data, (259-270)
  104. (1996). Reducing Cycle Time at an IBM Wafer Fabrication Facility, Interfaces, 26:2, (34-49), Online publication date: 1-Apr-1996.
  105. Kolks T, Vercauteren S and Lin B Control Resynthesis for Control-Dominated Asynchronous Designs Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
  106. Turgis S, Azemard N and Auvergne D Design and selection of buffers for minimum power-delay product Proceedings of the 1996 European conference on Design and Test
  107. Caufape S and Figueras J Power Optimization of Delay Constrained CMOS Bus Drivers Proceedings of the 1996 European conference on Design and Test
  108. ACM
    Torrellas J Computer architecture education at the University of Illinois Proceedings of the 1996 workshop on Computer architecture education, (2-es)
  109. Chung K (1995). Fast median-finding on mesh-connected computers with segmented buses, Nordic Journal of Computing, 2:4, (397-406), Online publication date: 1-Dec-1995.
  110. Fillo M, Keckler S, Dally W, Carter N, Chang A, Gurevich Y and Lee W The M-Machine multicomputer Proceedings of the 28th annual international symposium on Microarchitecture, (146-156)
  111. Zhao W and Papachristou C Architectural partitioning of control memory for application specific programmable processors Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design, (521-526)
  112. Kulasinghe P and Elamawy A (1995). On Achieving Maximum Performance in Time-Varying Arrays, Journal of Parallel and Distributed Computing, 31:2, (101-111), Online publication date: 1-Dec-1995.
  113. Lamport L (1995). TLA in Pictures, IEEE Transactions on Software Engineering, 21:9, (768-775), Online publication date: 1-Sep-1995.
  114. ACM
    Wang H, Sun T and Yang Q CAT—caching address tags Proceedings of the 22nd annual international symposium on Computer architecture, (381-390)
  115. Bhagavathi D, Bokka V, Gurla H, Olariu S, Schwing J, Stojmenovic I and Zhang J (1995). Time-Optimal Visibility-Related Algorithms on Meshes with Multiple Broadcasting, IEEE Transactions on Parallel and Distributed Systems, 6:7, (687-703), Online publication date: 1-Jul-1995.
  116. IEEE Computational Science & Engineering staff (1995). From Visualization to Manufacturing, IEEE Computational Science & Engineering, 2:2, (82-89), Online publication date: 1-Jun-1995.
  117. Unger S (1995). Hazards, Critical Races, and Metastability, IEEE Transactions on Computers, 44:6, (754-768), Online publication date: 1-Jun-1995.
  118. ACM
    Wang H, Sun T and Yang Q (1995). CAT—caching address tags, ACM SIGARCH Computer Architecture News, 23:2, (381-390), Online publication date: 1-May-1995.
  119. Tahir J, Dlay S, Naguib R and Hinton O Self-checking architectures for fast Hartley transform Proceedings of the 1995 European conference on Design and Test
  120. Kuehlmann A, Srinivasan A and LaPotin D (1995). Verity—a formal verification program for custom CMOS circuits, IBM Journal of Research and Development, 39:1-2, (149-165), Online publication date: 1-Feb-1995.
  121. Chung K (1995). Prefix Computations on a Generalized Mesh-Connected Computer with Multiple Buses, IEEE Transactions on Parallel and Distributed Systems, 6:2, (196-199), Online publication date: 1-Feb-1995.
  122. ACM
    Jain S, Bryant R and Jain A Automatic clock abstraction from sequential circuits Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (707-711)
  123. ACM
    Vittal A and Marek-Sadowska M Power distribution topology design Proceedings of the 32nd annual ACM/IEEE Design Automation Conference, (503-507)
  124. Tavangarian D (1994). Flag-Oriented Parallel Associative Architectures and Applications, Computer, 27:11, (41-52), Online publication date: 1-Nov-1994.
  125. Gopalakrishnan G (1994). Developing Micropipeline Wavefront Arbiters, IEEE Design & Test, 11:4, (55-64), Online publication date: 1-Oct-1994.
  126. Greiner A and Pétrot F Using C to write portable CMOS VLSI module generators Proceedings of the conference on European design automation, (676-681)
  127. Lenk S Extended timing diagrams as a specification language Proceedings of the conference on European design automation, (28-33)
  128. ACM
    Abadi M and Lamport L Open systems in TLA Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing, (81-90)
  129. Sproull R, Sutherland I and Molnar C (1994). The Counterflow Pipeline Processor Architecture, IEEE Design & Test, 11:3, (48-59), Online publication date: 1-Jul-1994.
  130. ACM
    Kission P, Ding H and Jerraya A Structured design methodology for high-level design Proceedings of the 31st annual Design Automation Conference, (466-471)
  131. ACM
    Aloqeely M and Chen C Sequencer-based data path synthesis of regular iterative algorithms Proceedings of the 31st annual Design Automation Conference, (155-160)
  132. Kudva P and Akella V Testing two-phase transition signaling based self-timed circuits in a synthesis environment Proceedings of the 7th international symposium on High-level synthesis, (104-111)
  133. Chi V (1994). Salphasic Distribution of Clock Signals for Synchronous Systems, IEEE Transactions on Computers, 43:5, (597-602), Online publication date: 1-May-1994.
  134. Zheng S (1994). Compressed Tree Machines, IEEE Transactions on Computers, 43:2, (222-225), Online publication date: 1-Feb-1994.
  135. Franklin M and Pan T Clocked and asynchronous instruction pipelines Proceedings of the 26th annual international symposium on Microarchitecture, (177-184)
  136. Ishii A Retiming gated-clocks and precharged circuit structures Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design, (300-307)
  137. Du D, Lin I and Chang K (1993). On Wafer-Packing Problems, IEEE Transactions on Computers, 42:11, (1382-1388), Online publication date: 1-Nov-1993.
  138. Tyagi A (1993). A Reduced-Area Scheme for Carry-Select Adders, IEEE Transactions on Computers, 42:10, (1163-1170), Online publication date: 1-Oct-1993.
  139. Dally W (1993). A universal parallel computer architecture, New Generation Computing, 11:3-4, (227-249), Online publication date: 1-Sep-1993.
  140. ACM
    Cohen D, Finn G, Felderman R and DeSchon A (1993). The use of message-based multicomputer components to construct gigabit networks, ACM SIGCOMM Computer Communication Review, 23:3, (32-44), Online publication date: 1-Jul-1993.
  141. ACM
    Kriplani H, Najm F, Yang P and Hajj I Resolving signal correlations for estimating maximum currents in CMOS combinational circuits Proceedings of the 30th international Design Automation Conference, (384-388)
  142. ACM
    Lai G, Fussell D and Wong D HV/VH trees Proceedings of the 30th international Design Automation Conference, (43-47)
  143. Macii E, Plessier B and Somenzi F Verification of systems containing counters Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (179-182)
  144. Tyagi A VLSI design parsing (preliminary version) Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design, (30-34)
  145. Wang H, Dutt N and Nicolau A Harmonic scheduling of linear recurrences for digital filter design Proceedings of the conference on European design automation, (396-401)
  146. Grosspietsch K and Reetz R (1992). The Associative Processor System CAPRA, IEEE Micro, 12:6, (58-67), Online publication date: 1-Nov-1992.
  147. Alnuweiri H and Prasanna V (1992). Parallel Architectures and Algorithms for Image Component Labeling, IEEE Transactions on Pattern Analysis and Machine Intelligence, 14:10, (1014-1034), Online publication date: 1-Oct-1992.
  148. Tao D, Hartmann C and Lala P (1992). A General Technique for Designing Totally Self-Checking Checker for 1-out-of-N Code with Minimum Gate Delay, IEEE Transactions on Computers, 41:7, (881-886), Online publication date: 1-Jul-1992.
  149. Afghahi M and Svensson C (1992). Performance of Synchronous and Asynchronous Schemes for VLSI Systems, IEEE Transactions on Computers, 41:7, (858-872), Online publication date: 1-Jul-1992.
  150. Blair J and Lloyd E (1992). Minimizing External Wires in Generalized Single-Row Routing, IEEE Transactions on Computers, 41:6, (771-776), Online publication date: 1-Jun-1992.
  151. ACM
    Sureshchandran S A systolic array architecture for multiplying Toeplitz matrices Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's, (933-936)
  152. Cappello P (1992). A Processor-Time-Minimal Systolic Array for Cubical Mesh Algorithms, IEEE Transactions on Parallel and Distributed Systems, 3:1, (4-13), Online publication date: 1-Jan-1992.
  153. David I, Ginosar R and Yoeli M (1992). Implementing Sequential Machines as Self-Timed Circuits, IEEE Transactions on Computers, 41:1, (12-17), Online publication date: 1-Jan-1992.
  154. ACM
    Gürsoy A and Kalé L High level support for divide-and-conquer parallelism Proceedings of the 1991 ACM/IEEE conference on Supercomputing, (283-292)
  155. Alia G and Martinelli E (1991). A VLSI Modulo m Multiplier, IEEE Transactions on Computers, 40:7, (873-878), Online publication date: 1-Jul-1991.
  156. ACM
    Ho K and Sastry S Flexible transistor matrix (FTM) Proceedings of the 28th ACM/IEEE Design Automation Conference, (475-480)
  157. Prasanna Kumar V and Tsai Y (1991). On Synthesizing Optimal Family of Linear Systolic Arrays for Matrix Multiplication, IEEE Transactions on Computers, 40:6, (770-774), Online publication date: 1-Jun-1991.
  158. Alnuweiri H and Prasanna Kumar V (1991). Processor-time optimal parallel algorithms for digitized images on mesh-connected processor arrays, Algorithmica, 6:1-6, (698-733), Online publication date: 1-Jun-1991.
  159. Aggarwal A, Klawe M, Lichtenstein D, Linial N and Wigderson A (1991). A lower bound on the area of permutation layouts, Algorithmica, 6:1-6, (241-255), Online publication date: 1-Jun-1991.
  160. Aggarwal A, Klawe M and Shor P (1991). Multilayer grid embeddings for VLSI, Algorithmica, 6:1-6, (129-151), Online publication date: 1-Jun-1991.
  161. Leiserson C and Saxe J (1991). Retiming synchronous circuitry, Algorithmica, 6:1-6, (5-35), Online publication date: 1-Jun-1991.
  162. Nair R, Chickermane V and Chamberlain R Restructuring VLSI layout representations for efficiency Proceedings of the conference on European design automation, (111-116)
  163. ACM
    Jackson M, Srinivasan A and Kuh E Clock routing for high-performance ICs Proceedings of the 27th ACM/IEEE Design Automation Conference, (573-579)
  164. ACM
    Matsumoto N, Watanabe Y, Usami K, Sugeno Y, Hatada H and Mori S Datapath generator based on gate-level symbolic layout Proceedings of the 27th ACM/IEEE Design Automation Conference, (388-393)
  165. Chen A and Wu C (1991). A Parallel Execution Model of Logic Programs, IEEE Transactions on Parallel and Distributed Systems, 2:1, (79-92), Online publication date: 1-Jan-1991.
  166. Peyton Jones S and Hardie M (1991). A Futurebus Interface from Off-the-Shelf Parts, IEEE Micro, 11:1, (38-41, 84-93), Online publication date: 1-Jan-1991.
  167. Melhem R and Hwang G (1990). Embedding Rectangular Grids into Square Grids with Dilation Two, IEEE Transactions on Computers, 39:12, (1446-1455), Online publication date: 1-Dec-1990.
  168. Dally W (1990). Performance Analysis of k-ary n-cube Interconnection Networks, IEEE Transactions on Computers, 39:6, (775-785), Online publication date: 1-Jun-1990.
  169. Rosenkrantz D (1990). Half-Hot State Assignments for Finite State Machines, IEEE Transactions on Computers, 39:5, (700-702), Online publication date: 1-May-1990.
  170. Matic S (1990). Emulation of Hypercube Architecture on Nearest-Neighbor Mesh-Connected Processing Elements, IEEE Transactions on Computers, 39:5, (698-700), Online publication date: 1-May-1990.
  171. Wei B and Thompson C (1990). Area-Time Optimal Adder Design, IEEE Transactions on Computers, 39:5, (666-675), Online publication date: 1-May-1990.
  172. Preparata F and Vuillemin J (1990). Practical Cellular Dividers, IEEE Transactions on Computers, 39:5, (605-614), Online publication date: 1-May-1990.
  173. ACM
    Maurer P and Morency C The FHDL ROM tools Proceedings of the 28th annual ACM Southeast Regional Conference, (18-24)
  174. ACM
    Maurer P and Morency C The FHDL PLA tools Proceedings of the 28th annual ACM Southeast Regional Conference, (3-9)
  175. Verkest D, Claesen L and De Man H Correctness proofs of parameterized hardware modules in the CATHEDRAL-II synthesis environment Proceedings of the conference on European design automation, (62-66)
  176. Lee S and Aggarwal J (1990). A System Design/Scheduling Strategy for Parallel Image Processing, IEEE Transactions on Pattern Analysis and Machine Intelligence, 12:2, (194-204), Online publication date: 1-Feb-1990.
  177. Sugla B and Carlson D (1990). Extreme Area-Time Tradeoffs in VLSI, IEEE Transactions on Computers, 39:2, (251-257), Online publication date: 1-Feb-1990.
  178. Montoye R, Hokenek E and Runyon S (1990). Design of the IBM RISC System/6000 floating-point execution unit, IBM Journal of Research and Development, 34:1, (59-70), Online publication date: 3-Jan-1990.
  179. Cheung K, Sohi G, Saluja K and Pradhan D (1990). Design and Analysis of a Gracefully Degrading Interleaved Memory System, IEEE Transactions on Computers, 39:1, (63-71), Online publication date: 1-Jan-1990.
  180. Chang P and Lee C (1990). A Decomposition Approach for Balancing Large-Scale Acyclic Data Flow Graphs, IEEE Transactions on Computers, 39:1, (34-46), Online publication date: 1-Jan-1990.
  181. Hwang J and Kung S (1989). Parallel algorithms/architectures for neural networks, Journal of VLSI Signal Processing Systems, 1:3, (221-251), Online publication date: 1-Sep-1989.
  182. Weise D Constraint posting for verifying VLSI circuits Proceedings of the 11th international joint conference on Artificial intelligence - Volume 2, (881-886)
  183. ACM
    Atallah M and Tsay J On the parallel decomposability of geometric problems Proceedings of the fifth annual symposium on Computational geometry, (104-113)
  184. ACM
    Martin A, Burns S, Lee T, Borkovic D and Hazewindus P (1989). The design of an asynchronous microprocessor, ACM SIGARCH Computer Architecture News, 17:4, (99-110), Online publication date: 2-Jun-1989.
  185. ACM
    Anido M, Allerton D and Zaluska E (1989). A three-port/three-access register file for concurrent processing and I/O communication in a RISC-like graphics engine, ACM SIGARCH Computer Architecture News, 17:3, (354-361), Online publication date: 1-Jun-1989.
  186. ACM
    Jones L Fast online/offline netlist compilation of hierarchical schematics Proceedings of the 26th ACM/IEEE Design Automation Conference, (822-825)
  187. ACM
    Chowdhury S Optimum design of reliable IC power networks having general graph topologies Proceedings of the 26th ACM/IEEE Design Automation Conference, (787-790)
  188. ACM
    Liaw H, Tran K and Lin C VVDS: a verification/diagnosis system for VHDL Proceedings of the 26th ACM/IEEE Design Automation Conference, (435-440)
  189. ACM
    Al-Khalili A, Zhu Y and Al-Khalili D A module generator for optimized CMOS buffers Proceedings of the 26th ACM/IEEE Design Automation Conference, (245-250)
  190. ACM
    Luk W and Dean A Multi-stack optimization for data-path chip (microprocessor) layout Proceedings of the 26th ACM/IEEE Design Automation Conference, (110-115)
  191. ACM
    Frenkel K and Sutherland I (1989). An interview with Ivan Sutherland, Communications of the ACM, 32:6, (712-714), Online publication date: 1-Jun-1989.
  192. ACM
    Gachet P, Mauras C, Quinton P and Saouter Y Alpha du centaur Proceedings of the 3rd international conference on Supercomputing, (235-243)
  193. ACM
    Anido M, Allerton D and Zaluska E A three-port/three-access register file for concurrent processing and I/O communication in a RISC-like graphics engine Proceedings of the 16th annual international symposium on Computer architecture, (354-361)
  194. Samatham M and Pradhan D (1989). The de Bruijn Multiprocessor Network, IEEE Transactions on Computers, 38:4, (567-581), Online publication date: 1-Apr-1989.
  195. Youn H and Singh A (1989). On Implementing Large Binary Tree Architectures in VLSI and WSI, IEEE Transactions on Computers, 38:4, (526-537), Online publication date: 1-Apr-1989.
  196. Prasanna Kumar V and Tsai Y (1989). On Mapping Algorithms to Linear and Fault-Tolerant Systolic Arrays, IEEE Transactions on Computers, 38:3, (470-478), Online publication date: 1-Mar-1989.
  197. El-Guibaly F (1989). Design and Analysis of Arbitration Protocols, IEEE Transactions on Computers, 38:2, (161-171), Online publication date: 1-Feb-1989.
  198. ACM
    Lamport L (1989). A simple approach to specifying concurrent systems, Communications of the ACM, 32:1, (32-45), Online publication date: 1-Jan-1989.
  199. Banerjee P and Dugar A (1989). The Design, Analysis and Simulation of a Fault-Tolerant Interconnection Network Supporting the Fetch-and-Add Primitive, IEEE Transactions on Computers, 38:1, (30-46), Online publication date: 1-Jan-1989.
  200. Lee P and Kedem Z (1988). Synthesizing Linear Array Algorithms from Nested FOR Loop Algorithms, IEEE Transactions on Computers, 37:12, (1578-1598), Online publication date: 1-Dec-1988.
  201. Lee P and Kedem Z On high-speed computing with a programmable linear array Proceedings of the 1988 ACM/IEEE conference on Supercomputing, (425-432)
  202. Sterling T, Wills D and Chan E Tokenless static data flow using associative templates Proceedings of the 1988 ACM/IEEE conference on Supercomputing, (70-79)
  203. Czerwinski P and Ramachandran V (1988). Optimal VLSI graph embeddings in variable aspect ratio rectangles, Algorithmica, 3:1-4, (487-510), Online publication date: 1-Nov-1988.
  204. Jarwala N and Pradhan D (1988). TRAM, IEEE Transactions on Computers, 37:10, (1235-1250), Online publication date: 1-Oct-1988.
  205. Sigal L and Kime C Concurrent off-phase built-in self-test of dormant logic Proceedings of the 1988 international conference on Test: new frontiers in testing, (934-941)
  206. Oldfield J, Williams R, Wiseman N and Brûlé M Content-addressable memories for quadtree-based images Proceedings of the Third Eurographics conference on Advances in Computer Graphics Hardware, (67-84)
  207. Brosnan T and Strader N (1988). Modular Error Detection for Bit-Serial Multiplication, IEEE Transactions on Computers, 37:9, (1043-1052), Online publication date: 1-Sep-1988.
  208. Rosenberger F, Molnar C, Chaney T and Fang T (1988). Q-Modules, IEEE Transactions on Computers, 37:9, (1005-1018), Online publication date: 1-Sep-1988.
  209. ACM
    Guennouni J Using Ada as a language for a CAD tool development Proceedings of the fifth Washington Ada symposium on Ada, (85-95)
  210. Cerny E, Aboulhamid E, Bois G and Cloutier J (1988). Built-In Self-Test of a CMOS ALU, IEEE Design & Test, 5:4, (38-48), Online publication date: 1-Jul-1988.
  211. Liu H and Das A (1988). A Multilevel Parallel Processing Approach to Scene Labeling Problems, IEEE Transactions on Pattern Analysis and Machine Intelligence, 10:4, (586-590), Online publication date: 1-Jul-1988.
  212. Porat M and Zeevi Y (1988). The Generalized Gabor Scheme of Image Representation in Biological and Machine Vision, IEEE Transactions on Pattern Analysis and Machine Intelligence, 10:4, (452-468), Online publication date: 1-Jul-1988.
  213. Berthet C and Cerny E (1988). An Algebraic Model for Asynchronous Circuits Verification, IEEE Transactions on Computers, 37:7, (835-847), Online publication date: 1-Jul-1988.
  214. Winsor D and Mudge T Analysis of bus hierarchies for multiprocessors Proceedings of the 15th Annual International Symposium on Computer architecture, (100-107)
  215. Wey C and Chang T PLAYGROUND Proceedings of the 25th ACM/IEEE Design Automation Conference, (421-426)
  216. Zargham M Parallel channel routing Proceedings of the 25th ACM/IEEE Design Automation Conference, (128-133)
  217. Augustin L, Gennart B, Huh Y, Luckham D and Stanculescu A Verification of VHDL designs using VAL Proceedings of the 25th ACM/IEEE Design Automation Conference, (48-53)
  218. ACM
    McFarland M Using bottom-up design techniques in the synthesis of digital hardware from abstract behavioral descriptions Papers on Twenty-five years of electronic design automation, (602-608)
  219. ACM
    Kowalski T and Thomas D The VLSI design automation assistant: prototype system Papers on Twenty-five years of electronic design automation, (561-565)
  220. ACM
    Ousterhout J Switch-level delay models for digital MOS VLSI Papers on Twenty-five years of electronic design automation, (489-495)
  221. ACM
    Lelarasmee E and Sangiovanni-Vincentelli A RELAX: A new circuit simulator for large scale MOS integrated circuits Papers on Twenty-five years of electronic design automation, (457-465)
  222. ACM
    Bryant R MOSSIM: A switch-level simulator for MOS LSI Papers on Twenty-five years of electronic design automation, (426-430)
  223. ACM
    DeMichelli G and Sangiovanni-Vincentelli A Pleasure: a computer program for simple/multiple constrained unconstrained folding of programmable logic arrays Papers on Twenty-five years of electronic design automation, (263-270)
  224. ACM
    Bhasker J and Samad T (1988). Compacting MIMOLA microcode, ACM SIGMICRO Newsletter, 19:1-2, (40-44), Online publication date: 1-Jun-1988.
  225. ACM
    (1988). Distributed microprogramming, ACM SIGMICRO Newsletter, 19:1-2, (11-12), Online publication date: 1-Jun-1988.
  226. ACM
    Hancu and Smith K DVPP: a VLSI dynamic-graph ensemble machine Proceedings of the 2nd international conference on Supercomputing, (90-100)
  227. Zhou B (1988). A New Bit-Serial Systolic Multiplier Over GF(2/sup m/), IEEE Transactions on Computers, 37:6, (749-751), Online publication date: 1-Jun-1988.
  228. Lenders P (1988). A Generalized Message-Passing Mechanism for Communicating Sequential Processes, IEEE Transactions on Computers, 37:6, (646-651), Online publication date: 1-Jun-1988.
  229. Murzin F and Sluev V (1988). A memory organization for parallel computers, New Generation Computing, 6:1, (3-18), Online publication date: 1-Jun-1988.
  230. Stephen Huang S (1988). AVLSI partition algorithm, BIT, 28:2, (215-226), Online publication date: 1-Jun-1988.
  231. ACM
    Winsor D and Mudge T (1988). Analysis of bus hierarchies for multiprocessors, ACM SIGARCH Computer Architecture News, 16:2, (100-107), Online publication date: 17-May-1988.
  232. Banerjee P (1988). The Cubical Ring Connected Cycles, IEEE Transactions on Computers, 37:5, (632-636), Online publication date: 1-May-1988.
  233. Truong T, Reed I, Hsu I, Shyu H and Shao H (1988). A Pipeline Design of a Fast Prime Factor DFT on a Finite Field, IEEE Transactions on Computers, 37:3, (266-273), Online publication date: 1-Mar-1988.
  234. ACM
    Bratbergsengen K Performance analysis of the hypercube line switch Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1, (595-599)
  235. ACM
    Dally W Finite-grain message passing concurrent computers Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1, (2-12)
  236. ACM
    Aggarwal A, Chandra A and Raghavan P Energy consumption in VLSI circuits Proceedings of the twentieth annual ACM symposium on Theory of computing, (205-216)
  237. Harden J and Strader I (1988). Architectural Yield Optimization for WSI, IEEE Transactions on Computers, 37:1, (88-110), Online publication date: 1-Jan-1988.
  238. ACM
    van der Meulen P (1987). INSIST: Interactive Simulation in Smalltalk, ACM SIGPLAN Notices, 22:12, (366-376), Online publication date: 1-Dec-1987.
  239. ACM
    van der Meulen P INSIST: Interactive Simulation in Smalltalk Conference proceedings on Object-oriented programming systems, languages and applications, (366-376)
  240. ACM
    Bhasker J and Samad T Compacting MIMOLA microcode Proceedings of the 20th annual workshop on Microprogramming, (97-105)
  241. ACM
    Lenders P Distributed microprogramming Proceedings of the 20th annual workshop on Microprogramming, (12-14)
  242. Gertner I and Shamash M (1987). VLSI Architectures for multidimensional fourier transform processing, IEEE Transactions on Computers, 36:11, (1265-1274), Online publication date: 1-Nov-1987.
  243. Karlin A, Trickey H and Ullman J (1987). Algorithms for the compilation of regular expressions into PLAs, Algorithmica, 2:1-4, (283-314), Online publication date: 1-Nov-1987.
  244. Shand M (1987). Algorithms for corner stitched data-structures, Algorithmica, 2:1-4, (61-80), Online publication date: 1-Nov-1987.
  245. ACM
    Lin Y and Gajski D LES: a layout expert system Proceedings of the 24th ACM/IEEE Design Automation Conference, (672-678)
  246. ACM
    Shah P and Mahabala H A new compaction scheme based on compression ridges Proceedings of the 24th ACM/IEEE Design Automation Conference, (645-648)
  247. ACM
    Chun R, Chang K and McNamee L VISION: VHDL induced schematic imaging on net-lists Proceedings of the 24th ACM/IEEE Design Automation Conference, (436-442)
  248. ACM
    Lin B and Newton A KAHLUA: a hierarchical circuit disassembler Proceedings of the 24th ACM/IEEE Design Automation Conference, (311-317)
  249. ACM
    Chowdhury S An automated design of minimum-area IC power/ground nets Proceedings of the 24th ACM/IEEE Design Automation Conference, (223-229)
  250. ACM
    McDermott R and Stern D Switch directed dynamic causal networks—a paradigm for electronic system diagnosis Proceedings of the 24th ACM/IEEE Design Automation Conference, (258-264)
  251. ACM
    Healey S and Kubitz W Abstract routing of logic networks for custom module generation Proceedings of the 24th ACM/IEEE Design Automation Conference, (230-236)
  252. ACM
    Faroughi N and Shanblatt M An improved systematic method for constructing systolic arrays from algorithms Proceedings of the 24th ACM/IEEE Design Automation Conference, (26-34)
  253. Wu I (1987). A Fast 1-D Serial-Parallel Systolic Multiplier, IEEE Transactions on Computers, 36:10, (1243-1247), Online publication date: 1-Oct-1987.
  254. Redinbo G (1987). Finite Field Fault-Tolerant Digital Filtering Architectures, IEEE Transactions on Computers, 36:10, (1236-1242), Online publication date: 1-Oct-1987.
  255. Lowrie M and Fuchs W (1987). Reconfigurable Tree Architectures Using Subtree Oriented Fault Tolerance, IEEE Transactions on Computers, 36:10, (1172-1182), Online publication date: 1-Oct-1987.
  256. Guyot A, Hochet B and Muller J (1987). A Way to Build Efficient Carry-Skip Adders, IEEE Transactions on Computers, 36:10, (1144-1152), Online publication date: 1-Oct-1987.
  257. Gordon D (1987). Efficient embeddings of binary trees in VLSI arrays, IEEE Transactions on Computers, 36:9, (1009-1018), Online publication date: 1-Sep-1987.
  258. Dévai F An O(log N) parallel time exact hidden-line algorithm Proceedings of the Second Eurographics conference on Advances in Computer Graphics Hardware, (65-73)
  259. ACM
    Cheung K, Sohi G, Saluja K and Pradhan D Organization and analysis of a gracefully-degrading interleaved memory system Proceedings of the 14th annual international symposium on Computer architecture, (224-231)
  260. Codenotti B and Romani F (1987). Integration letter, Integration, the VLSI Journal, 5:1, (77-86), Online publication date: 1-Mar-1987.
  261. Shubat A, Pretorias J and Salama C (1987). Integration workshop, Integration, the VLSI Journal, 5:1, (47-71), Online publication date: 1-Mar-1987.
  262. Mazumder P (1987). Evaluation of On-Chip Static Interconnection Networks, IEEE Transactions on Computers, 36:3, (365-369), Online publication date: 1-Mar-1987.
  263. Bertossi A and Bonuccelli M (1987). A VLSI Implementation of the Simplex Algorithm, IEEE Transactions on Computers, 36:2, (241-247), Online publication date: 1-Feb-1987.
  264. Karpovsky M (1987). Multilevel Logical Networks, IEEE Transactions on Computers, 36:2, (215-226), Online publication date: 1-Feb-1987.
  265. Reddy S and Ha D (1987). A New Approach to the Design of Testable PLA's, IEEE Transactions on Computers, 36:2, (201-211), Online publication date: 1-Feb-1987.
  266. Browne M, Clarke E, Dill D and Mishra B (1986). Automatic Verification of Sequential Circuits Using Temporal Logic, IEEE Transactions on Computers, 35:12, (1035-1044), Online publication date: 1-Dec-1986.
  267. Rosenstiehl P and Tarjan R (1986). Rectilinear planar layouts and bipolar orientations of planar graphs, Discrete & Computational Geometry, 1:4, (343-353), Online publication date: 1-Dec-1986.
  268. Kaneko H, Miki Y, Nohara S, Koya K and Araki M A 32-bit CMOS microprocessor with six-stage pipeline structure Proceedings of 1986 ACM Fall joint computer conference, (1000-1007)
  269. Dupont E, Idt J and Saucier G A rule based system for the optimal state assignment of controllers Proceedings of 1986 ACM Fall joint computer conference, (915-924)
  270. ACM
    Miller M, Cunningham H, Lee C and Vegdahl S (1986). The application accelerator illustration system, ACM SIGPLAN Notices, 21:11, (294-302), Online publication date: 1-Nov-1986.
  271. Truong T, Chang J, Hsu I, Pei D and Reed I (1986). Techniques for Computing the Discrete Fourier Transform Using the Quadratic Residue Fermat Number Systems, IEEE Transactions on Computers, 35:11, (1008-1012), Online publication date: 1-Nov-1986.
  272. Unger S and Tan C (1986). Clocking Schemes for High-Speed Digital Systems, IEEE Transactions on Computers, 35:10, (880-895), Online publication date: 1-Oct-1986.
  273. ACM
    Vijayan V Geometry of planar graphs with angles Proceedings of the second annual symposium on Computational geometry, (116-124)
  274. Jerraya A, Varinot P, Jamier R and Courtois B Principles of the SYCO compiler Proceedings of the 23rd ACM/IEEE Design Automation Conference, (715-721)
  275. Frison P and Gautrin E MADMACS Proceedings of the 23rd ACM/IEEE Design Automation Conference, (654-658)
  276. Gerveshi C Comparison of CMOS PLA and polycell representations of control logic Proceedings of the 23rd ACM/IEEE Design Automation Conference, (638-642)
  277. Maly W Optimal order of the VLSI IC testing sequence Proceedings of the 23rd ACM/IEEE Design Automation Conference, (560-566)
  278. Aude J and Kahn H A design rule database system to support technology-adaptable applications Proceedings of the 23rd ACM/IEEE Design Automation Conference, (510-516)
  279. Hauge P and Yoffa E Vanguard Proceedings of the 23rd ACM/IEEE Design Automation Conference, (440-446)
  280. Ligthart M, Aarts E and Beenker F Design-for-testability of PLA's using statistical cooling Proceedings of the 23rd ACM/IEEE Design Automation Conference, (339-345)
  281. Bapat S and Venkatesh G Reasoning about digital systems using temporal logic Proceedings of the 23rd ACM/IEEE Design Automation Conference, (215-219)
  282. Beckett W MOS circuit models in Network C Proceedings of the 23rd ACM/IEEE Design Automation Conference, (171-178)
  283. Hayes J (1986). Pseudo-Boolean Logic Circuits, IEEE Transactions on Computers, 35:7, (602-612), Online publication date: 1-Jul-1986.
  284. Gonzalez T and Lee S (1986). Routing multiterminal nets around a rectangle, IEEE Transactions on Computers, 35:6, (543-549), Online publication date: 10-Jun-1986.
  285. Ibarra O, Kim S and Palis M (1986). Designing systolic algorithms using sequential machines, IEEE Transactions on Computers, 35:6, (531-542), Online publication date: 10-Jun-1986.
  286. Hasegawa M and Shigei Y AT2 = O(N log4 N), T = O(log N) fast Fourier transform in a light connected 3-dimensional VLSI Proceedings of the 13th annual international symposium on Computer architecture, (252-260)
  287. ACM
    Miller M, Cunningham H, Lee C and Vegdahl S The application accelerator illustration system Conference proceedings on Object-oriented programming systems, languages and applications, (294-302)
  288. ACM
    Hasegawa M and Shigei Y (1986). AT2 = O(N log4 N), T = O(log N) fast Fourier transform in a light connected 3-dimensional VLSI, ACM SIGARCH Computer Architecture News, 14:2, (252-260), Online publication date: 1-May-1986.
  289. ACM
    Wah B and Li G (1986). Survey on special purpose computer architectures for AI, ACM SIGART Bulletin:96, (28-46), Online publication date: 1-Apr-1986.
  290. Bozorgui-Nesbat S and McCluskey E (1986). Lower Overhead Design for Testability of Programmable Logic Arrays, IEEE Transactions on Computers, 35:4, (379-383), Online publication date: 1-Apr-1986.
  291. ACM
    Hăncu M and Smith K DYPP—A VLSI supercomputer architecture supporting two-level fault tolerance, program graph injection and data levitation concepts Proceedings of the 1986 ACM fourteenth annual conference on Computer science, (115-120)
  292. ACM
    Scacchi W (1986). Difficulties in realizing large-scale educational computing projects, ACM SIGCUE Outlook, 18:2-4, (163-176), Online publication date: 1-Jan-1986.
  293. Hartimo I, Kronlof K, Simula O and Skytta J (1986). DFSP, IEEE Transactions on Computers, 35:1, (23-33), Online publication date: 1-Jan-1986.
  294. ACM
    Tracz W and Boesch B JAM—just another microsequencer Proceedings of the 18th annual workshop on Microprogramming, (181-188)
  295. ACM
    Hwang J, Papachristou C and Cornett D Microcode development for microprogrammed processors Proceedings of the 18th annual workshop on Microprogramming, (145-156)
  296. ACM
    Tracz W and Boesch B (1985). JAM—just another microsequencer, ACM SIGMICRO Newsletter, 16:4, (181-188), Online publication date: 1-Dec-1985.
  297. ACM
    Hwang J, Papachristou C and Cornett D (1985). Microcode development for microprogrammed processors, ACM SIGMICRO Newsletter, 16:4, (145-156), Online publication date: 1-Dec-1985.
  298. ACM
    Batory D and Kim W (1985). Modeling concepts for VLSI CAD objects, ACM Transactions on Database Systems, 10:3, (322-346), Online publication date: 1-Sep-1985.
  299. Sussman G Intelligent support for the engineering of software (panel paper) Proceedings of the 8th international conference on Software engineering, (397-399)
  300. Hambrusch S and Simon J (1985). Solving Undirected Graph Problems on VLSI, SIAM Journal on Computing, 14:3, (527-544), Online publication date: 1-Aug-1985.
  301. Hurson A and Shirazi B A systolic multiplier unit and its VLSI design Proceedings of the 12th annual international symposium on Computer architecture, (302-309)
  302. Hasegawa M and Shigei Y High-speed top-of-stack scheme for VLSI processor Proceedings of the 12th annual international symposium on Computer architecture, (48-54)
  303. Hsu P, Rahmeh J, Davidson E and Abraham J TIDBITS Proceedings of the 12th annual international symposium on Computer architecture, (29-35)
  304. Kumar V and Raghavendra C Array processor with multiple broadcasting Proceedings of the 12th annual international symposium on Computer architecture, (2-10)
  305. Reddy M, Reddy S and Agrawal P Transistor level test generation for MOS circuits Proceedings of the 22nd ACM/IEEE Design Automation Conference, (825-828)
  306. Obermeier F and Katz R PLA driver selection Proceedings of the 22nd ACM/IEEE Design Automation Conference, (798-802)
  307. Chowdhury S and Breuer M The construction of minimal area power and ground nets for VLSI circuits Proceedings of the 22nd ACM/IEEE Design Automation Conference, (794-797)
  308. Koseki Y and Yamada T PLAYER Proceedings of the 22nd ACM/IEEE Design Automation Conference, (766-769)
  309. van Laarhoven P, Aarts E and Davio M PHIPLA—a new algorithm for logic minimization Proceedings of the 22nd ACM/IEEE Design Automation Conference, (739-743)
  310. Wong Y Hierarchical circuit verification Proceedings of the 22nd ACM/IEEE Design Automation Conference, (695-701)
  311. McLellan P Effective data management for VLSI design Proceedings of the 22nd ACM/IEEE Design Automation Conference, (652-657)
  312. Royal N, Hunter J and Buchanan I A case study in process independence Proceedings of the 22nd ACM/IEEE Design Automation Conference, (591-596)
  313. Walker R and Thomas D A model of design representation and synthesis Proceedings of the 22nd ACM/IEEE Design Automation Conference, (453-459)
  314. Ramayya K, Kumar A and Prasad S An automated data path synthesizer for a canonic structure, implementable in VLSI Proceedings of the 22nd ACM/IEEE Design Automation Conference, (381-387)
  315. Bier G and Pleszkun A An algorithm for design rule checking on a multiprocessor Proceedings of the 22nd ACM/IEEE Design Automation Conference, (299-304)
  316. Kowalski T and Thomas D The VLSI design automation assistant Proceedings of the 22nd ACM/IEEE Design Automation Conference, (252-258)
  317. Meshkinpour F and Ercegovac M A functional language for description and design of digital systems Proceedings of the 22nd ACM/IEEE Design Automation Conference, (238-244)
  318. Healey S and Gajski D Decomposition of logic networks into silicon Proceedings of the 22nd ACM/IEEE Design Automation Conference, (162-168)
  319. Rosenberg J Auto-interactive schematics to layout translation Proceedings of the 22nd ACM/IEEE Design Automation Conference, (82-87)
  320. Bamji C, Hauck C and Allen J A design by example regular structure generator Proceedings of the 22nd ACM/IEEE Design Automation Conference, (16-22)
  321. ACM
    Hurson A and Shirazi B (1985). A systolic multiplier unit and its VLSI design, ACM SIGARCH Computer Architecture News, 13:3, (302-309), Online publication date: 1-Jun-1985.
  322. ACM
    Hasegawa M and Shigei Y (1985). High-speed top-of-stack scheme for VLSI processor, ACM SIGARCH Computer Architecture News, 13:3, (48-54), Online publication date: 1-Jun-1985.
  323. ACM
    Hsu P, Rahmeh J, Davidson E and Abraham J (1985). TIDBITS, ACM SIGARCH Computer Architecture News, 13:3, (29-35), Online publication date: 1-Jun-1985.
  324. ACM
    Kumar V and Raghavendra C (1985). Array processor with multiple broadcasting, ACM SIGARCH Computer Architecture News, 13:3, (2-10), Online publication date: 1-Jun-1985.
  325. ACM
    Hennessy J (1985). SLIM, ACM SIGMICRO Newsletter, 16:2, (22-36), Online publication date: 1-May-1985.
  326. ACM
    Drongowski P Representation in CAD Proceedings of the 1985 ACM thirteenth annual conference on Computer Science, (131-135)
  327. ACM
    Hurson A and Shirazi S The design of a hardware recognizer for utilization in scanning operations Proceedings of the 1985 ACM thirteenth annual conference on Computer Science, (112-119)
  328. ACM
    Aslam J (1984). Methodology for designing a computer architecture, ACM SIGARCH Computer Architecture News, 12:5, (4-11), Online publication date: 1-Dec-1984.
  329. ACM
    Franzblau D and Kleitman D An algorithm for constructing regions with rectangles Proceedings of the sixteenth annual ACM symposium on Theory of computing, (167-174)
  330. Bozorgui-Nesbat S and McCluskey E Lower overhead design for testability of programmable logic arrays Proceedings of the 1984 international test conference on The three faces of test: design, characterization, production, (856-865)
  331. Kuang-Wei Chiang and Vranesic Z (1984). Comments on "Fault Diagnosis of MOS Combinational Networks", IEEE Transactions on Computers, 33:10, (947-947), Online publication date: 1-Oct-1984.
  332. Varman P, Ramakrishnan I and Fussell D (1984). A Robust Matrix-Multiplication Array, IEEE Transactions on Computers, 33:10, (919-922), Online publication date: 1-Oct-1984.
  333. In-Shek Hsu , Reed I, Truong T, Ke Wang , Chiunn-Shyong Yeh and Deutsch L (1984). The VLSI Implementation of a Reed Solomon Encoder Using Berlekamp's Bit-Serial Multiplier Algorithm, IEEE Transactions on Computers, 33:10, (906-911), Online publication date: 1-Oct-1984.
  334. Barzilai Z, Beece D, Huisman L and Silberman G (1984). Using a hardware simulation engine for custom MOS structured designs, IBM Journal of Research and Development, 28:5, (564-571), Online publication date: 1-Sep-1984.
  335. Chazelle B (1984). Computational Geometry on a Systolic Chip, IEEE Transactions on Computers, 33:9, (774-785), Online publication date: 1-Sep-1984.
  336. Trefethen L (1984). Analysis and design of polygonal resistors by conformal mapping, Zeitschrift für Angewandte Mathematik und Physik (ZAMP), 35:5, (692-704), Online publication date: 1-Sep-1984.
  337. ACM
    Sheeran M muFP, a language for VLSI design Proceedings of the 1984 ACM Symposium on LISP and functional programming, (104-112)
  338. Hassan S (1984). Signature Testing of Sequential Machines, IEEE Transactions on Computers, 33:8, (762-764), Online publication date: 1-Aug-1984.
  339. Fujiwara H (1984). A New PLA Design for Universal Testability, IEEE Transactions on Computers, 33:8, (745-750), Online publication date: 1-Aug-1984.
  340. Khakbaz J (1984). A Testable PLA Design with Low Overhead and High Fault Coverage, IEEE Transactions on Computers, 33:8, (743-745), Online publication date: 1-Aug-1984.
  341. ACM
    Foti L, English D, Hopkins R, Kinniment D, Treleaven P and Wang W Reduced-instruction set multi-microcomputer system Proceedings of the July 9-12, 1984, national computer conference and exposition, (69-76)
  342. Guting R and Wood D (1984). Finding Rectangle Intersections by Divide-and-Conquer, IEEE Transactions on Computers, 33:7, (671-675), Online publication date: 1-Jul-1984.
  343. Ja'Ja' J and Owens R (1984). VLSI Sorting with Reduced Hardware, IEEE Transactions on Computers, 33:7, (668-671), Online publication date: 1-Jul-1984.
  344. Adi W (1984). Fast Burst Error-Correction Scheme with Fire Code, IEEE Transactions on Computers, 33:7, (613-618), Online publication date: 1-Jul-1984.
  345. Wieclawski A and Perkowski M Optimization of negative gate networks realized in weinberger-LIKF layout in a boolean level silicon compiler Proceedings of the 21st Design Automation Conference, (703-704)
  346. Crawford J An electronic design interchange format Proceedings of the 21st Design Automation Conference, (683-685)
  347. Kors J and Israel M An interactive electrical graph extractor Proceedings of the 21st Design Automation Conference, (624-628)
  348. Wardle C, Watson C, Wilson C, Mudge J and Nelson B A declarative design approach for combining macrocells by directed placement and constructive routing Proceedings of the 21st Design Automation Conference, (594-601)
  349. Ousterhout J Switch-level delay models for digital MOS VLSI Proceedings of the 21st Design Automation Conference, (542-548)
  350. Glasser L and Hoyte L Delay and power optimization in VLSI circuits Proceedings of the 21st Design Automation Conference, (529-535)
  351. Meyer M, Agrawal P and Pfister R A VLSI FSM design system Proceedings of the 21st Design Automation Conference, (434-440)
  352. Milne G A model for hardware description and verification Proceedings of the 21st Design Automation Conference, (251-257)
  353. Hollaar L, Nelson B, Carter T and Lorie R The structure and operation of a relational database system in a cell-oriented integrated circuit design system Proceedings of the 21st Design Automation Conference, (117-125)
  354. Lursinsap C and Gajski D Cell compilation with constraints Proceedings of the 21st Design Automation Conference, (103-108)
  355. Powell P and Elmasry M The icewater language and interpreter Proceedings of the 21st Design Automation Conference, (98-102)
  356. Ng C A symbolic-interconnect router for custom IC design Proceedings of the 21st Design Automation Conference, (52-58)
  357. ACM
    Samatham M and Pradhan D (1984). A multiprocessor network suitable for single-chip VLSI implementation, ACM SIGARCH Computer Architecture News, 12:3, (328-339), Online publication date: 1-Jun-1984.
  358. ACM
    Philipson L (1984). VLSI based design principles for MIMD multiprocessor computers with distributed memory management, ACM SIGARCH Computer Architecture News, 12:3, (319-327), Online publication date: 1-Jun-1984.
  359. ACM
    Fisher A (1984). Dictionary machines with a small number of processors, ACM SIGARCH Computer Architecture News, 12:3, (151-156), Online publication date: 1-Jun-1984.
  360. Shen J and Ferguson F (1984). The Design of Easily Testable VLSI Array Multipliers, IEEE Transactions on Computers, 33:6, (554-560), Online publication date: 1-Jun-1984.
  361. Tamir Y and Sequin C (1984). Design and Application of Self-Testing Comparators Implemented with MOS PLA's, IEEE Transactions on Computers, 33:6, (493-506), Online publication date: 1-Jun-1984.
  362. Wada K, Hagihara K and Tokura N (1984). Area-Time Optimal Fast Implementation of Several Functions in a VLSI Model, IEEE Transactions on Computers, 33:5, (455-462), Online publication date: 1-May-1984.
  363. Garcia-Molina H, Lipton R and Valdes J (1984). A Massive Memory Machine, IEEE Transactions on Computers, 33:5, (391-399), Online publication date: 1-May-1984.
  364. Bryant R (1984). A Switch-Level Model and Simulator for MOS Digital Systems, IEEE Transactions on Computers, 33:2, (160-177), Online publication date: 1-Feb-1984.
  365. White S, Strader N and Rhyne V (1984). A VLSI-Based I/O Formatting Device, IEEE Transactions on Computers, 33:2, (140-149), Online publication date: 1-Feb-1984.
  366. ACM
    Johnson S Applicative programming and digital design Proceedings of the 11th ACM SIGACT-SIGPLAN symposium on Principles of programming languages, (218-227)
  367. ACM
    Samatham M and Pradhan D A multiprocessor network suitable for single-chip VLSI implementation Proceedings of the 11th annual international symposium on Computer architecture, (328-339)
  368. ACM
    Philipson L VLSI based design principles for MIMD multiprocessor computers with distributed memory management Proceedings of the 11th annual international symposium on Computer architecture, (319-327)
  369. ACM
    Fisher A Dictionary machines with a small number of processors Proceedings of the 11th annual international symposium on Computer architecture, (151-156)
  370. ACM
    Bayoumi M, Jullien G and Miller W A systolic (VLSI) array using RNS for digital signal processing applications Proceedings of the ACM 12th annual computer science conference on SIGCSE symposium, (115-120)
  371. Gordon D, Koren I and Silberman G (1984). Embedding Tree Structures in VLSI Hexagonal Arrays, IEEE Transactions on Computers, 33:1, (104-107), Online publication date: 1-Jan-1984.
  372. ACM
    Baker B, Bhatt S and Leighton F An approximation algorithm for manhattan routing Proceedings of the fifteenth annual ACM symposium on Theory of computing, (477-486)
  373. ACM
    Sipser M Borel sets and circuit complexity Proceedings of the fifteenth annual ACM symposium on Theory of computing, (61-69)
  374. Thompson C (1983). The VLSI Complexity of Sorting, IEEE Transactions on Computers, 32:12, (1171-1184), Online publication date: 1-Dec-1983.
  375. Liu P and Young T (1983). VLSI Array Design Under Constraint of Limited I/O Bandwidth, IEEE Transactions on Computers, 32:12, (1160-1170), Online publication date: 1-Dec-1983.
  376. Ja'Ja' J and Owens R (1983). An architecture for a VLSI FFT processor, Integration, the VLSI Journal, 1:4, (305-316), Online publication date: 1-Dec-1983.
  377. Halaas A (1983). A systolic VLSI matrix for a family of fundamental searching problems, Integration, the VLSI Journal, 1:4, (269-282), Online publication date: 1-Dec-1983.
  378. Danielsson P (1983). A Variable-Length Shift-Register, IEEE Transactions on Computers, 32:11, (1067-1069), Online publication date: 1-Nov-1983.
  379. Thompson C (1983). Fourier Transforms in VLSI, IEEE Transactions on Computers, 32:11, (1047-1057), Online publication date: 1-Nov-1983.
  380. Saluja K, Kinoshita K and Fujiwara H (1983). An Easily Testable Design of Programmable Logic Arrays for Multiple Faults, IEEE Transactions on Computers, 32:11, (1038-1046), Online publication date: 1-Nov-1983.
  381. Papachristou C (1983). Direct Implementation of Discrete and Residue-Based Functions Via Optimal Encoding, IEEE Transactions on Computers, 32:10, (961-968), Online publication date: 1-Oct-1983.
  382. Rosenberg A (1983). The Diogenes Approach to Testable Fault-Tolerant Arrays of Processors, IEEE Transactions on Computers, 32:10, (902-910), Online publication date: 1-Oct-1983.
  383. Alia G (1983). VLSI systolic arrays for band matrix multiplication, Integration, the VLSI Journal, 1:2-3, (233-249), Online publication date: 1-Oct-1983.
  384. Bergstra J and Klop J (1983). A proof rule for restoring logic circuits, Integration, the VLSI Journal, 1:2-3, (161-178), Online publication date: 1-Oct-1983.
  385. Milne G (1983). Circal, Integration, the VLSI Journal, 1:2-3, (121-160), Online publication date: 1-Oct-1983.
  386. Liesenberg H and Kinniment D (1983). An autolayout system for a hierarchical i.c. design environment, Integration, the VLSI Journal, 1:2-3, (107-119), Online publication date: 1-Oct-1983.
  387. Truong T, Reed I, Yeh C and Shao H (1983). A Parallel Architecture for Digital Filtering Using Fermat Number Transforms, IEEE Transactions on Computers, 32:9, (874-877), Online publication date: 1-Sep-1983.
  388. Schwab T and Yau S (1983). An Algebraic Model of Fault-Masking Logic Circuits, IEEE Transactions on Computers, 32:9, (809-825), Online publication date: 1-Sep-1983.
  389. Bongiovanni G (1983). Two VLSI Structures for the Discrete Fourier Transform, IEEE Transactions on Computers, 32:8, (750-754), Online publication date: 1-Aug-1983.
  390. Baudet G, Preparata F and Vuillemin J (1983). Area Time Optimal VLSI Circuits for Convolution, IEEE Transactions on Computers, 32:7, (684-688), Online publication date: 1-Jul-1983.
  391. ACM
    Treleaven P (1983). The new generation of computer architecture, ACM SIGARCH Computer Architecture News, 11:3, (402-409), Online publication date: 30-Jun-1983.
  392. ACM
    Giloi W and Behr P (1983). Hierarchical function distribution - a design principle for advanced multicomputer architectures, ACM SIGARCH Computer Architecture News, 11:3, (318-325), Online publication date: 30-Jun-1983.
  393. ACM
    Bonuccelli M, Lodi E, Luccio F, Maestrini P and Pagli L (1983). A VLSI tree machine for relational data bases, ACM SIGARCH Computer Architecture News, 11:3, (67-73), Online publication date: 30-Jun-1983.
  394. ACM
    Wagner R (1983). The Boolean Vector Machine [BVM], ACM SIGARCH Computer Architecture News, 11:3, (59-66), Online publication date: 30-Jun-1983.
  395. ACM
    Fisher A, Kung H, Monier L and Dohi Y (1983). Architecture of the PSC-a programmable systolic chip, ACM SIGARCH Computer Architecture News, 11:3, (48-53), Online publication date: 30-Jun-1983.
  396. Wimer S and Sharfman N HOPLA-PLA optimization and synthesis Proceedings of the 20th Design Automation Conference, (790-794)
  397. Leblond A CAF Proceedings of the 20th Design Automation Conference, (747-753)
  398. McGarity R and Siewiorek D Experiments with the SLIM Circuit Compactor Proceedings of the 20th Design Automation Conference, (740-746)
  399. Szymanski T and Van Wyk C Space efficient algorithms for VLSI artwork analysis Proceedings of the 20th Design Automation Conference, (734-739)
  400. Gupta A ACE Proceedings of the 20th Design Automation Conference, (721-725)
  401. LaPaugh A and Lipton R Total stuct-at-fault testing by circuit transformation Proceedings of the 20th Design Automation Conference, (713-716)
  402. Hill D Edisim and Edicap Proceedings of the 20th Design Automation Conference, (608-614)
  403. Haynie M Tutorial Proceedings of the 20th Design Automation Conference, (599-607)
  404. Metos J and Oldfield J Binary Decision Diagrams Proceedings of the 20th Design Automation Conference, (567-570)
  405. Martinez-Carballido J and Powers V PRONTO Proceedings of the 20th Design Automation Conference, (545-552)
  406. Micheli G and Sangiovanni-Vincentelli A PLEASURE Proceedings of the 20th Design Automation Conference, (530-537)
  407. Kowalski T and Thomas D The VLSI Design Automation Assistant Proceedings of the 20th Design Automation Conference, (479-483)
  408. Ramachandran V An improved switch-level simulator for MOS circuits Proceedings of the 20th Design Automation Conference, (293-299)
  409. Mayo R and Ousterhout J Pictures with parentheses Proceedings of the 20th Design Automation Conference, (270-276)
  410. Rubin F and Horstmann P A logic design front-end for improved engineering productivity Proceedings of the 20th Design Automation Conference, (239-245)
  411. Umrigar Z and Pitchumani V Formal verification of a real-time hardware design Proceedings of the 20th Design Automation Conference, (221-227)
  412. Barzilai Z, Huisman L, Silberman G, Tang D and Woo L Simulating pass transistor circuits using logic simulation machines Proceedings of the 20th Design Automation Conference, (157-163)
  413. Kedem G and Watanabe H Graph-optimization techniques for IC layout and compaction Proceedings of the 20th Design Automation Conference, (113-120)
  414. ACM
    Treleaven P The new generation of computer architecture Proceedings of the 10th annual international symposium on Computer architecture, (402-409)
  415. ACM
    Giloi W and Behr P Hierarchical function distribution - a design principle for advanced multicomputer architectures Proceedings of the 10th annual international symposium on Computer architecture, (318-325)
  416. ACM
    Bonuccelli M, Lodi E, Luccio F, Maestrini P and Pagli L A VLSI tree machine for relational data bases Proceedings of the 10th annual international symposium on Computer architecture, (67-73)
  417. ACM
    Wagner R The Boolean Vector Machine [BVM] Proceedings of the 10th annual international symposium on Computer architecture, (59-66)
  418. ACM
    Fisher A, Kung H, Monier L and Dohi Y Architecture of the PSC-a programmable systolic chip Proceedings of the 10th annual international symposium on Computer architecture, (48-53)
  419. ACM
    Garcia-Molina H (1983). Using semantic knowledge for transaction processing in a distributed database, ACM Transactions on Database Systems, 8:2, (186-213), Online publication date: 1-Jun-1983.
  420. Hambrusch S (1983). VLSI Algorithms for the Connected Component Problem, SIAM Journal on Computing, 12:2, (354-365), Online publication date: 1-May-1983.
  421. Huang C (1983). A Fully Parallel Mixed-Radix Conversion Algorithm for Residue Number Applications, IEEE Transactions on Computers, 32:4, (398-402), Online publication date: 1-Apr-1983.
  422. Miranker G, Tang L and Wong C (1983). A "zero-time" VLSI sorter, IBM Journal of Research and Development, 27:2, (140-148), Online publication date: 1-Mar-1983.
  423. Vuillemin J (1983). A Combinatorial Limit to the Computing Power of VLSI Circuits, IEEE Transactions on Computers, 32:3, (294-300), Online publication date: 1-Mar-1983.
  424. Wann D and Franklin M (1983). Asynchronous and Clocked Control Structures for VSLI Based Interconnection Networks, IEEE Transactions on Computers, 32:3, (284-293), Online publication date: 1-Mar-1983.
  425. Preparata F (1983). A Mesh-Connected Area-Time Optimal VLSI Multiplier of Large Integers, IEEE Transactions on Computers, 32:2, (194-198), Online publication date: 1-Feb-1983.
  426. ACM
    Ousterhout J VLSI tools and architectures Proceedings of the 1983 computer science conference, (25-30)
  427. ACM
    Pfister G Parallel processing, special-purpose hardware, and DA applications Proceedings of the 1983 computer science conference, (21-24)
  428. Banâtre J, Frison P and Quinton P (1983). A network for the detection of words in continuous speech, Acta Informatica, 18:4, (431-448), Online publication date: 1-Jan-1983.
  429. Kai Hwang and Yeng-Heng Cheng (1982). Partitioned Matrix Algorithms for VLSI Arithmetic Systems, IEEE Transactions on Computers, 31:12, (1215-1224), Online publication date: 1-Dec-1982.
  430. Yasuura H, Takagi N and Yajima S (1982). The Parallel Enumeration Sorting Scheme for VLSI, IEEE Transactions on Computers, 31:12, (1192-1201), Online publication date: 1-Dec-1982.
  431. ACM
    Lim W (1982). HISDL—a structure description language, Communications of the ACM, 25:11, (823-830), Online publication date: 1-Nov-1982.
  432. Brent R and Goldschlager L (1982). Some Area-Time Tradeoffs for VLSI, SIAM Journal on Computing, 11:4, (737-747), Online publication date: 1-Nov-1982.
  433. Franklin M, Wann D and Thomas W (1982). Pin Limitations and Partitioning of VLSI Interconnection Networks, IEEE Transactions on Computers, 31:11, (1109-1116), Online publication date: 1-Nov-1982.
  434. Sun-Yuan Kung , Arun K, Gal-Ezer R and Bhaskar Rao D (1982). Wavefront Array Processor, IEEE Transactions on Computers, 31:11, (1054-1066), Online publication date: 1-Nov-1982.
  435. Uhr L (1982). Comparing Serial Computers, Arrays, and Networks Using Measures of "Active Resources", IEEE Transactions on Computers, 31:10, (1022-1025), Online publication date: 1-Oct-1982.
  436. Stefik M and Conway L (1982). Towards the Principled Engineering of Knowledge, AI Magazine, 3:3, (4-16), Online publication date: 1-Sep-1982.
  437. ACM
    Mandrioli D (1982). On teaching theoretical foundations of Computer Science, ACM SIGACT News, 14:4, (58-69), Online publication date: 1-Sep-1982.
  438. Aleliunas R and Rosenberg A (1982). On Embedding Rectangular Grids in Square Grids, IEEE Transactions on Computers, 31:9, (907-913), Online publication date: 1-Sep-1982.
  439. Ottmann T, Rosenberg A and Stockmeyer L (1982). A Dictionary Machine (for VLSI), IEEE Transactions on Computers, 31:9, (892-897), Online publication date: 1-Sep-1982.
  440. ACM
    Culik K and Pachl J Folding and unrolling systolic arrays (Preliminary Version) Proceedings of the first ACM SIGACT-SIGOPS symposium on Principles of distributed computing, (254-261)
  441. ACM
    Magó G Data sharing in an FFP machine Proceedings of the 1982 ACM symposium on LISP and functional programming, (201-207)
  442. Strader N and Rhyne V (1982). A Canonical Bit-Sequential Multiplier, IEEE Transactions on Computers, 31:8, (791-795), Online publication date: 1-Aug-1982.
  443. ACM
    Zyda M A contour display generation algorithm for VLSI implementation Proceedings of the 9th annual conference on Computer graphics and interactive techniques, (135-146)
  444. ACM
    Zyda M (1982). A contour display generation algorithm for VLSI implementation, ACM SIGGRAPH Computer Graphics, 16:3, (135-146), Online publication date: 1-Jul-1982.
  445. Khakbaz J (1982). Totally Self-Checking Checker for 1-out-of-n Code Using Two-Rail Codes, IEEE Transactions on Computers, 31:7, (677-681), Online publication date: 1-Jul-1982.
  446. Trickey H (1982). Good Layouts for Pattern Recognizers, IEEE Transactions on Computers, 31:6, (514-520), Online publication date: 1-Jun-1982.
  447. Akl S (1982). A constant-time parallel algorithm for computing convex hulls, BIT, 22:2, (129-134), Online publication date: 1-Jun-1982.
  448. ACM
    Atallah M and Kosaraju S Graph problems on a mesh-connected processor array (Preliminary Version) Proceedings of the fourteenth annual ACM symposium on Theory of computing, (345-353)
  449. ACM
    Kissin G Measuring energy consumption in VLSI circuits Proceedings of the fourteenth annual ACM symposium on Theory of computing, (99-104)
  450. ACM
    Leighton F A layout strategy for VLSI which is provably good (Extended Abstract) Proceedings of the fourteenth annual ACM symposium on Theory of computing, (85-98)
  451. Treleaven P and Hopkins R A recursive computer architecture for VLSI Proceedings of the 9th annual symposium on Computer Architecture, (229-238)
  452. Fussell D and Varman P Fault-tolerant wafer-scale architectures for VLSI Proceedings of the 9th annual symposium on Computer Architecture, (190-198)
  453. Dasgupta S and Olafsson M Towards a family of languages for the design and implementation of machine architectures Proceedings of the 9th annual symposium on Computer Architecture, (158-167)
  454. Franklin M and Wann D Asynchronous and clocked control structures for VLSI based interconnection networks Proceedings of the 9th annual symposium on Computer Architecture, (50-59)
  455. Chu K and Fu K VLSI architectures for high speed recognition of context-free languages and finite-state languages Proceedings of the 9th annual symposium on Computer Architecture, (43-49)
  456. ACM
    Treleaven P and Hopkins R (1982). A recursive computer architecture for VLSI, ACM SIGARCH Computer Architecture News, 10:3, (229-238), Online publication date: 1-Apr-1982.
  457. ACM
    Fussell D and Varman P (1982). Fault-tolerant wafer-scale architectures for VLSI, ACM SIGARCH Computer Architecture News, 10:3, (190-198), Online publication date: 1-Apr-1982.
  458. ACM
    Dasgupta S and Olafsson M (1982). Towards a family of languages for the design and implementation of machine architectures, ACM SIGARCH Computer Architecture News, 10:3, (158-167), Online publication date: 1-Apr-1982.
  459. ACM
    Franklin M and Wann D (1982). Asynchronous and clocked control structures for VLSI based interconnection networks, ACM SIGARCH Computer Architecture News, 10:3, (50-59), Online publication date: 1-Apr-1982.
  460. ACM
    Chu K and Fu K (1982). VLSI architectures for high speed recognition of context-free languages and finite-state languages, ACM SIGARCH Computer Architecture News, 10:3, (43-49), Online publication date: 1-Apr-1982.
  461. Brent R and Kung H (1982). A Regular Layout for Parallel Adders, IEEE Transactions on Computers, 31:3, (260-264), Online publication date: 1-Mar-1982.
  462. Bochmann G (1982). Hardware Specification with Temporal Logic, IEEE Transactions on Computers, 31:3, (223-231), Online publication date: 1-Mar-1982.
  463. ACM
    Welsch L (1982). Using electronic mail as a teaching tool, Communications of the ACM, 25:2, (105-108), Online publication date: 1-Feb-1982.
  464. ACM
    Lipton R, Sedgewick R and Valdes J Programming aspects of VLSI Proceedings of the 9th ACM SIGPLAN-SIGACT symposium on Principles of programming languages, (57-65)
  465. Ousterhout J and Ungar D Measurements of a VLSI design Proceedings of the 19th Design Automation Conference, (903-908)
  466. Syed Z, Gamal A and Breuer M On routing for custom integrated circuits Proceedings of the 19th Design Automation Conference, (887-893)
  467. Leinwand S Logical correctness by construction Proceedings of the 19th Design Automation Conference, (825-831)
  468. Lelarasmee E and Sangiovanni-Vincentelli A Relax Proceedings of the 19th Design Automation Conference, (682-687)
  469. Mudge T, Rutenbar R, Lougheed R and Atkins D Cellular image processing techniques for VLSI circuit layout validation and routing Proceedings of the 19th Design Automation Conference, (537-543)
  470. Arnold M and Ousterhout J Lyra Proceedings of the 19th Design Automation Conference, (530-536)
  471. Rivest R The “PI” (placement and interconnect) system Proceedings of the 19th Design Automation Conference, (475-481)
  472. Lipton R, North S, Sedgewick R, Valdes J and Vijayan G ALI Proceedings of the 19th Design Automation Conference, (467-474)
  473. Hayes J A fault simulation methodology for VLSI Proceedings of the 19th Design Automation Conference, (393-399)
  474. Szepieniec A SAGA Proceedings of the 19th Design Automation Conference, (365-370)
  475. Kedem G The quad-CIF tree Proceedings of the 19th Design Automation Conference, (352-357)
  476. Adshead H Towards VLSI complexity Proceedings of the 19th Design Automation Conference, (339-344)
  477. Chuquillanqui S and Segovia T PAOLA Proceedings of the 19th Design Automation Conference, (300-306)
  478. Katz R A database approach for managing VLSI design data Proceedings of the 19th Design Automation Conference, (274-282)
  479. Heller W, Sorkin G and Maling K The planar package planner for system designers Proceedings of the 19th Design Automation Conference, (253-260)
  480. Seiler L A hardware assisted design rule check architecture Proceedings of the 19th Design Automation Conference, (232-238)
  481. Teel B and Wilde D A logic minimizer for VLSI PLA design Proceedings of the 19th Design Automation Conference, (156-162)
  482. Hachtel G, Newton A and Sangiovanni-Vincentelli A Techniques for programmable logic array folding Proceedings of the 19th Design Automation Conference, (147-155)
  483. Ishikawa C, Sakamura K and Maekawa M Adaptation and personalization of VLSI-based computer architecture Proceedings of the 14th annual workshop on Microprogramming, (51-61)
  484. ACM
    Ishikawa C, Sakamura K and Maekawa M (1981). Adaptation and personalization of VLSI-based computer architecture, ACM SIGMICRO Newsletter, 12:4, (51-61), Online publication date: 1-Dec-1981.
  485. Seth S and Narayanaswamy K (1981). A Graph Model for Pattern-Sensitive Faults in Random Access Memories, IEEE Transactions on Computers, 30:12, (973-977), Online publication date: 1-Dec-1981.
  486. Sridhar T and Hayes J (1981). Design of Easily Testable Bit-Sliced Systems, IEEE Transactions on Computers, 30:11, (842-854), Online publication date: 1-Nov-1981.
  487. ACM
    Penfield P AIDS, APL integrated-circuit design system Proceedings of the international conference on APL, (240-247)
  488. ACM
    Penfield P (1981). AIDS, APL integrated-circuit design system, ACM SIGAPL APL Quote Quad, 12:1, (240-247), Online publication date: 1-Sep-1981.
  489. ACM
    Kung H Use of VLSI in algebraic computation Proceedings of the fourth ACM symposium on Symbolic and algebraic computation, (218-222)
  490. Hayes A (1981). Stored State Asynchronous Sequential Circuits, IEEE Transactions on Computers, 30:8, (596-600), Online publication date: 1-Aug-1981.
  491. Sridhar T and Hayes J (1981). A Functional Approach to Testing Bit-Sliced Microprocessors, IEEE Transactions on Computers, 30:8, (563-571), Online publication date: 1-Aug-1981.
  492. Montoye R Area-time efficient addition in charge based technology Proceedings of the 18th Design Automation Conference, (862-872)
  493. Blank T, Stefik M and vanCleemput W A parallel bit map processor architecture for DA algorithms Proceedings of the 18th Design Automation Conference, (837-845)
  494. Martin G, Berrie J, Little T, Mackay D, McVean J, Tomsett D and Weston L CELTIC - solving the problems of LSI design with an integrated polycell DA system Proceedings of the 18th Design Automation Conference, (804-811)
  495. Bryant R MOSSIM Proceedings of the 18th Design Automation Conference, (786-790)
  496. Sherwood W A MOS modelling technique for 4-state true-value hierarchical logic simulation or Karnough knowledge Proceedings of the 18th Design Automation Conference, (775-785)
  497. Corbin L Custom VLSI electrical rule checking in an intelligent terminal Proceedings of the 18th Design Automation Conference, (696-701)
  498. Heller W Contrasts in physical design between LSI and VLSI Proceedings of the 18th Design Automation Conference, (676-683)
  499. Glasser L The analog behavior of digital integrated circuits Proceedings of the 18th Design Automation Conference, (603-612)
  500. Paillotin J Optimization of the PLA area Proceedings of the 18th Design Automation Conference, (406-410)
  501. Suwa I and Kubitz W A computer-aided-design system for segmented-folded PLA macro-cells Proceedings of the 18th Design Automation Conference, (398-405)
  502. Losleben P Current issues in government interest and involvement in CAD Proceedings of the 18th Design Automation Conference, (337-341)
  503. Goates G and Patil S ABLE Proceedings of the 18th Design Automation Conference, (322-329)
  504. Atkins D, Liu W and Ong S Overview of an Arithmetic Design System Proceedings of the 18th Design Automation Conference, (314-321)
  505. Franco D and Reed L The Cell Design System Proceedings of the 18th Design Automation Conference, (240-247)
  506. Eastman C Recent developments in representation in the science of design Proceedings of the 18th Design Automation Conference, (13-21)
  507. Koren I A reconfigurable and fault-tolerant VLSI multiprocessor array Proceedings of the 8th annual symposium on Computer Architecture, (425-442)
  508. Wah B and Ma Y MANIP-a parallel computer system for implementing branch and bound algorithms Proceedings of the 8th annual symposium on Computer Architecture, (239-262)
  509. Briggs F, Dubois M and Hwang K Throughput analysis and configuration design of a shared-resource multiprocessor system Proceedings of the 8th annual symposium on Computer Architecture, (67-79)
  510. ACM
    Chazelle B and Monier L A model of computation for VLSI with related complexity results Proceedings of the thirteenth annual ACM symposium on Theory of computing, (318-325)
  511. ACM
    Dolev D, Karplus K, Siegel A, Strong A and Ullman J Optimal wiring between rectangles Proceedings of the thirteenth annual ACM symposium on Theory of computing, (312-317)
  512. ACM
    Yao A The entropic limitations on VLSI computations(Extended Abstract) Proceedings of the thirteenth annual ACM symposium on Theory of computing, (308-311)
  513. ACM
    Paterson M, Ruzzo W and Snyder L Bounds on minimax edge length for complete binary trees Proceedings of the thirteenth annual ACM symposium on Theory of computing, (293-299)
  514. ACM
    Heller A and Van Dam A Vertical and outboard migration Proceedings of the May 4-7, 1981, national computer conference, (69-74)
  515. ACM
    Parker A and Wilner W Microprogramming Proceedings of the May 4-7, 1981, national computer conference, (63-68)
  516. ACM
    Lawson H New directions for micro- and system architectures in the 1980s Proceedings of the May 4-7, 1981, national computer conference, (57-62)
  517. ACM
    Dees W, Parmar K, Goyal A, Tsui R, Rathi B and Smith R A computer-aided VLSI layout system Proceedings of the May 4-7, 1981, national computer conference, (11-18)
  518. ACM
    Hobson R Software sympathetic chip set design Proceedings of the May 4-7, 1981, national computer conference, (3-10)
  519. ACM
    Preparata F and Vuillemin J (1981). The cube-connected cycles: a versatile network for parallel computation, Communications of the ACM, 24:5, (300-309), Online publication date: 1-May-1981.
  520. Franklin M (1981). VLSI Performance Comparison of Banyan and Crossbar Communications Networks, IEEE Transactions on Computers, 30:4, (283-291), Online publication date: 1-Apr-1981.
  521. Horowitz E and Zorat A (1981). The Binary Tree as an Interconnection Network, IEEE Transactions on Computers, 30:4, (247-253), Online publication date: 1-Apr-1981.
  522. ACM
    Cragon H (1980). The economics of programmable system components, ACM SIGMICRO Newsletter, 11:3-4, (122-125), Online publication date: 1-Dec-1980.
  523. Cragon H The economics of programmable system components Proceedings of the 13th annual workshop on Microprogramming, (122-125)
  524. ACM
    Steele G and Sussman G (1980). Design of a LISP-based microprocessor, Communications of the ACM, 23:11, (628-645), Online publication date: 1-Nov-1980.
  525. ACM
    Hartenstein R (1980). KARL subset used as a hardware design algebra, ACM SIGDA Newsletter, 10:2, (2-8), Online publication date: 1-Aug-1980.
  526. Bentley J and Wood D (1980). An Optimal Worst Case Algorithm for Reporting Intersections of Rectangles, IEEE Transactions on Computers, 29:7, (571-577), Online publication date: 1-Jul-1980.
  527. ACM
    McGrath E and Whitney T Design integrity and immunity checking Proceedings of the 17th Design Automation Conference, (263-268)
  528. ACM
    Rosenberg L The evolution of design automation to meet the challanges of VLSI Proceedings of the 17th Design Automation Conference, (3-11)
  529. ACM
    Foster M and Kung H Design of special-purpose VLSI chips Proceedings of the 7th annual symposium on Computer Architecture, (300-307)
  530. ACM
    Brent R and Kung H The chip complexity of binary arithmetic Proceedings of the twelfth annual ACM symposium on Theory of computing, (190-200)
  531. ACM
    Fischer M and Paterson M Optimal tree layout (Preliminary Version) Proceedings of the twelfth annual ACM symposium on Theory of computing, (177-189)
  532. ACM
    Tompa M An optimal solution to a wire-routing problem (preliminary version) Proceedings of the twelfth annual ACM symposium on Theory of computing, (161-176)
  533. Patterson D and Sequin C (1980). Design Considerations for Single-Chip Computers of the Future, IEEE Transactions on Computers, 29:2, (108-116), Online publication date: 1-Feb-1980.
  534. Johannsen D Bristle Blocks Proceedings of the 16th Design Automation Conference, (310-313)
  535. Gray J Introduction to silicon compilation Proceedings of the 16th Design Automation Conference, (305-306)
  536. ACM
    Patterson D, Fehr E and Séquin C Design considerations for the VLSI processor of X-TREE Proceedings of the 6th annual symposium on Computer architecture, (90-101)
  537. Fairbairn D and Rowson J ICARUS Proceedings of the 15th Design Automation Conference, (188-192)
Contributors
  • California Institute of Technology
  • University of Michigan, Ann Arbor
Please enable JavaScript to view thecomments powered by Disqus.

Recommendations