Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1874620.1874721acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration

Published: 20 April 2009 Publication History

Abstract

As industry moves towards many-core chips, networks-on-chip (NoCs) are emerging as the scalable fabric for interconnecting the cores. With power now the first-order design constraint, early-stage estimation of NoC power has become crucially important. ORION [29] was amongst the first NoC power models released, and has since been fairly widely used for early-stage power estimation of NoCs. However, when validated against recent NoC prototypes -- the Intel 80-core Teraflops chip and the Intel Scalable Communications Core (SCC) chip -- we saw significant deviation that can lead to erroneous NoC design choices. This prompted our development of ORION 2.0, an extensive enhancement of the original ORION models which includes completely new subcomponent power models, area models, as well as improved and updated technology models. Validation against the two Intel chips confirms a substantial improvement in accuracy over the original ORION. A case study with these power models plugged within the COSI-OCC NoC design space exploration tool [23] confirms the need for, and value of, accurate early-stage NoC power estimation. To ensure the longevity of ORION 2.0, we will be releasing it wrapped within a semi-automated flow that automatically updates its models as new technology files become available.

References

[1]
A. Banerjee, R. Mullins and S. Moore, "A Power and Energy Exploration of Network-on-Chip Architectures", Proc. NoCs, 2007, pp. 163--172.
[2]
N. Banerjee, P. Vellanki and K. S. Chatha, "A Power and Performance Model for Network-on-Chip Architectures", Proc. DATE, 2004, pp. 1250--1255.
[3]
A. Bona, V. Zaccaria, and R. Zafalon, "System Level Power Modeling and Simulation of High-End Industrial Network-on-Chip", Proc. DATE, 2004, pp. 318--323.
[4]
S. Bhat, "Energy Models for Network-on-Chip Components", M.S. Thesis, Dept. of Mathematics and Computer Science, Royal Institute of Technology, Eindhoven, 2005.
[5]
D. Brooks, V. Tiwari and M. Martonosi, "Wattch: A Framework for Architectural-Level Power Analysis and Optimizations", Proc. ISCA, 2000, pp. 83--94.
[6]
L. P. Carloni, A. B. Kahng, S. Muddu, A. Pinto, K. Samadi and P. Sharma, "Interconnect Modeling for Improved System-Level Design Optimization", Proc. ASPDAC, 2008, pp. 258--264.
[7]
X. Chen and L.-S. Peh, "Leakage Power Modeling and Optimization in Interconnect Networks", Proc. ISLPED, 2003, pp. 90--95.
[8]
W. J. Dally and B. Towles, "Route Packets, Not Wires: On-Chip Interconnection Networks", Proc. DAC, 2001, pp. 684--689.
[9]
D. E. Duarte, N. Vijaykrishnan and M. J. Irwin, "A Clock Power Model to Evaluate Impact of Architectural and Technology Optimization", IEEE TVLSI 10(6), 2002, pp. 844--855.
[10]
N. Eisley and L.-S. Peh, "High-Level Power Analysis for On-Chip Networks," in Proc. CASES, 2004, pp. 104--115.
[11]
S. Heo and K. Asanovic, "Power-Optimal Pipelining in Deep Submicron Technology", Proc. ISLPED, 2004, pp. 218--223.
[12]
S. Heo and K. Asanovic, "Replacing Global Wires with an On-Chip Network: A Power Analysis", Proc. ISLPED, 2005, pp. 369--374.
[13]
Y. Hoskote, S. Vangal, A. Singh, N. Borkar and S. Borkar, "A 5-GHz Mesh Interconnect for a Teraflops Processor", IEEE MICRO, 2007, pp. 51--61.
[14]
D. A. IIitzky, J. D. Hoffman, A. Chun and B. P. Esparza, "Architecture of the Scalable Communications Core's Network on Chip", IEEE MICRO, 2007, pp. 62--74.
[15]
C. Isci and M. Martonosi, "Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data", Proc. MICRO, 2003, pp. 93--104.
[16]
P. Kongetira et al., "Niagara: A 32-Way Multithreaded SPARC Processor," in IEEE MICRO, 25(2), 2005, pp. 21--29.
[17]
A. Kumar, P. Kundu, A. Singh, L.-S. Peh and N. K. Jha, "A 4.6Tbits/s 3.6GHz Single-cycle NoC Router with a Novel Switch Allocator in 65nm CMOS", Proc. ICCD, 2007, pp. 63--70.
[18]
N. Muralimanohar, R. Balasubramonian and N. Jouppi, "Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0", Proc. MICRO, 2007, pp. 3--14.
[19]
K. Niyogi and D. Marculescu, "System-Level Power and Performance Modeling of GALS Point-to-Point Communication Interfaces", Proc. ISLPED, 2005, pp. 381--386.
[20]
C. S. Patel, S. M. Chai, S. Yalamanchili and D. E. Schimmel, "Power Constrained Design of Multiprocessor Interconnection Networks", Proc. ICCD, 1997, pp. 408--416.
[21]
D. Pham et al., "The Design and Implementation of a First-Generation Cell Processor", Proc. ISSCC, 2005, pp. 184--185.
[22]
A. Pinto, A. Bonivento, A. Sangiovanni-Vincentelli, R. Passerone and M. Sgroi, "System Level Design Paradigms: Platform-Based Design and Communication Synthesis", ACM TODAES, 11(3), 2006, pp. 537--563.
[23]
A. Pinto, L. P. Carloni, A. L. Sangiovanni-Vincentelli, "A Methodology and an Open Software Infrastructure for Constraint-Driven Synthesis of On-Chip Communications", Technical Report, UCB/EECS-2007-130, 2007.
[24]
V. Soteriou, N. Eisley, H. Wang and L.-S. Peh, "Polaris: A System-Level Roadmap for On-Chip Interconnection Networks", Proc. ICCD, 2006, pp. 134--142.
[25]
M. B. Taylor et al., "The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs", IEEE MICRO, 22(2), 2002, pp. 25--35.
[26]
M. B. Taylor et al., "Evaluation of the Raw Microprocessor: An Exposed-Wire-Delay Architecture for ILP and Streams", Proc. ISCA, 2004, pp. 2--13.
[27]
S. Thoziyoor, N. Muralimanohar, J. H. Ahn and N. P. Jouppi, "CACTI 5.1", Technical Report HPL-2008-20, HP Laboratories, 2008.
[28]
H. Wang, "Power-Efficient Design of On-Chip Interconnection Networks", Ph.D. Thesis, Dept. of Electrical Engineering, Princeton Univeristy, 2005.
[29]
H. Wang, X. Zhu, L.-S. Peh and S. Malik, "Orion: A Power-Performance Simulator for Interconnection Networks", Proc. MICRO, 2002, pp. 294--395.
[30]
W. Ye, N. Vijaykrishnan, M. Kandemir and M. J. Irwin "The Design and Use of SimplePower: A Cycle-Accurate Energy Estimation Tool," in Proc. DAC, 2000, pp. 340--345.
[31]
H. Yoshida, D. Kaushik and V. Boppana, "Accurate Pre-Layout Estimation of Standard Cell Characteristics", Proc. DAC, 2004, pp. 208--211.
[32]
http://www.synopsys.com/products/power/powerds.html
[33]
Synopsys Design Compiler User Guide, v2007.12.SP-5.
[34]
http://www.cadence.com/us/pages/default.aspx
[35]
http://www.synopsys.com/products/primetimepx/.
[36]
ARM Integrated Multiprocessor Core, 2006 http://www.arm.com/.
[37]
Predictive Technology Model, http://www.eas.asu.edu/~ptm/.
[38]
Liberty File Format, http://www.synopsys.com/products/libertyccs/libertyccs.html
[39]
LEF/DEF Exchange Format, http://openeda.si2.org/projects/lefdef.
[40]
International Technology Roadmap for Semiconductors, http://www.itrs.net

Cited By

View all
  • (2024)SCNoCs: An Adaptive Heterogeneous Multi-NoC with Selective Compression and Power GatingProceedings of the 29th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC58780.2024.10473870(13-18)Online publication date: 22-Jan-2024
  • (2022)NoCeptionProceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe10.5555/3539845.3540084(1035-1040)Online publication date: 14-Mar-2022
  • (2021)Ratatoskr: An Open-Source Framework for In-Depth Power, Performance, and Area Analysis and Optimization in 3D NoCsACM Transactions on Modeling and Computer Simulation10.1145/347275432:1(1-21)Online publication date: 27-Sep-2021
  • Show More Cited By
  1. ORION 2.0: a fast and accurate NoC power and area model for early-stage design space exploration

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DATE '09: Proceedings of the Conference on Design, Automation and Test in Europe
    April 2009
    1776 pages
    ISBN:9783981080155

    Sponsors

    • EDAA: European Design Automation Association
    • ECSI
    • EDAC: Electronic Design Automation Consortium
    • SIGDA: ACM Special Interest Group on Design Automation
    • The IEEE Computer Society TTTC
    • The IEEE Computer Society DATC
    • The Russian Academy of Sciences: The Russian Academy of Sciences

    Publisher

    European Design and Automation Association

    Leuven, Belgium

    Publication History

    Published: 20 April 2009

    Check for updates

    Qualifiers

    • Research-article

    Conference

    DATE '09
    Sponsor:
    • EDAA
    • EDAC
    • SIGDA
    • The Russian Academy of Sciences

    Acceptance Rates

    Overall Acceptance Rate 518 of 1,794 submissions, 29%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)16
    • Downloads (Last 6 weeks)4
    Reflects downloads up to 02 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)SCNoCs: An Adaptive Heterogeneous Multi-NoC with Selective Compression and Power GatingProceedings of the 29th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC58780.2024.10473870(13-18)Online publication date: 22-Jan-2024
    • (2022)NoCeptionProceedings of the 2022 Conference & Exhibition on Design, Automation & Test in Europe10.5555/3539845.3540084(1035-1040)Online publication date: 14-Mar-2022
    • (2021)Ratatoskr: An Open-Source Framework for In-Depth Power, Performance, and Area Analysis and Optimization in 3D NoCsACM Transactions on Modeling and Computer Simulation10.1145/347275432:1(1-21)Online publication date: 27-Sep-2021
    • (2020)COPEACM Transactions on Design Automation of Electronic Systems10.1145/342814926:3(1-31)Online publication date: 31-Dec-2020
    • (2019)IntelliNoCProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322274(589-600)Online publication date: 22-Jun-2019
    • (2019)Predicting Server Power Consumption from Standard Rating ResultsProceedings of the 2019 ACM/SPEC International Conference on Performance Engineering10.1145/3297663.3310298(301-312)Online publication date: 4-Apr-2019
    • (2019)A System-Level Simulator for RRAM-Based Neuromorphic Computing ChipsACM Transactions on Architecture and Code Optimization10.1145/329105415:4(1-24)Online publication date: 8-Jan-2019
    • (2019)Fault-Tolerant Network-on-Chip Design with Flexible Spare Core PlacementACM Journal on Emerging Technologies in Computing Systems10.1145/326998315:1(1-23)Online publication date: 14-Jan-2019
    • (2018)Critical packet prioritisation by slack-aware re-routing in on-chip networksProceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip10.5555/3306619.3306631(1-8)Online publication date: 4-Oct-2018
    • (2018)Efficient Memristor-Based Architecture for Intrusion Detection and High-Speed Packet ClassificationACM Journal on Emerging Technologies in Computing Systems10.1145/326481914:4(1-27)Online publication date: 28-Nov-2018
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media