Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1013235.1013291acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Power-optimal pipelining in deep submicron technology

Published: 09 August 2004 Publication History

Abstract

This paper explores the effectiveness of pipelining as a power saving tool, where the reduction in logic depth per stage is used to reduce supply voltage at a fixed clock frequency. We examine power-optimal pipelining in deep submicron technology, both analytically and by simulation. Simulation uses a 70nm predictive process with a fanout-of-four inverter chain model including input/output flip-flops, and results are shown to match theory well. The simulation results show that power-optimal logic depth is 6 to 8 FO4 and optimal power saving varies from 55 to 80% compared to a 24 FO4 logic depth, depending on threshold voltage, activity factor, and presence of clock-gating.We decompose the power consumption of a circuit into three components, switching power, leakage power, and idle power, and present the following insights into power-optimal pipelining. First, power-optimal logic depth decreases and optimal power savings increase for larger activity factors, where switching power dominates over leakage and idle power. Second, pipelining is more effective with lower threshold voltages at high activity factors, but higher threshold voltages give better results at lower activity factors where leakage current dominates. Lastly, clock-gating enables deeper pipelining and more power saving because it reduces timing element overhead when the activity factor is low.

References

[1]
A. Chandrakasan, W. J. Bowhill, and F. Fox. Design of High Performance Microprocessor Circuits. IEEE Press, 2000.
[2]
A. Chandrakasan et al. Low-power CMOS digital design. IEEE JSSC, 27(4):473--484, Apr. 1992.
[3]
V. De and S. Borkar. Technology and design challenges for low power and high performance. In ISLPED, pages 163--168, 1999.
[4]
Device Group at UC Berkeley. Predictive technology model. Technical report, UC Berkeley, 2001. http://www-device.eecs.berkely.edu/ptm/.
[5]
A. Hartstein and T. Puzak. The optimum pipeline depth for a microprocessor. In ISCA 29, pages 7--13, May 2002.
[6]
A. Hartstein and T. Puzak. Optimum power/performance pipeline depth. In MICRO, Dec. 2003.
[7]
S.Heo,R.Krashinsky,and K.AsanoviC. Activity-sensitive flip-flop and latch selection for reduced energy. In 19th Conference on Advanced Research in VLSI, Salt Lake City, UT USA, March 2001.
[8]
G. Hinton et al. A 0.18µm CMOS IA-32 processor with a 4-GHz integer execution unit. IEEE JSSC, 36(11):1617--1627, Nov. 2001.
[9]
M. Hrishikesh et al. The optimal logic depth per pipeline stage is 6 to 8 FO4 inverter delays. In ISCA 29, pages 14--24, May 2002.
[10]
S. R. Kunkel and J. E. Smith. Optimal pipelining in supercomputers. In Proceedings 13th Symposium on Computer Architecture, pages 404--414, Tokyo, Japan, June 1986.
[11]
E. Sprangle and D. Carmean. Increasing processor performance by implementing deeper pipelines. In ISCA 29, pages 25--36, May 2002.
[12]
V. Srinivasan et al. Optimizing pipelines for power and performance. In MICRO, Nov. 2002.

Cited By

View all
  • (2017)Pipelining a triggered processing elementProceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3123939.3124551(96-108)Online publication date: 14-Oct-2017
  • (2014)An analytical study of resource division and its impact on power and performance of multi-core processorsThe Journal of Supercomputing10.1007/s11227-014-1086-068:3(1265-1279)Online publication date: 1-Jun-2014
  • (2010)Analyzing impact of multiple ABB and AVS domains on throughput of power and thermal-constrained multi-core processorsProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899771(229-234)Online publication date: 18-Jan-2010
  • Show More Cited By

Index Terms

  1. Power-optimal pipelining in deep submicron technology

        Recommendations

        Comments

        Please enable JavaScript to view thecomments powered by Disqus.

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ISLPED '04: Proceedings of the 2004 international symposium on Low power electronics and design
        August 2004
        414 pages
        ISBN:1581139292
        DOI:10.1145/1013235
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 09 August 2004

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. pipelining
        2. power scaling
        3. supply voltage reduction

        Qualifiers

        • Article

        Conference

        ISLPED04
        Sponsor:
        ISLPED04: International Symposium on Low Power Electronics and Design
        August 9 - 11, 2004
        California, Newport Beach, USA

        Acceptance Rates

        Overall Acceptance Rate 398 of 1,159 submissions, 34%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)2
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 02 Oct 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2017)Pipelining a triggered processing elementProceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3123939.3124551(96-108)Online publication date: 14-Oct-2017
        • (2014)An analytical study of resource division and its impact on power and performance of multi-core processorsThe Journal of Supercomputing10.1007/s11227-014-1086-068:3(1265-1279)Online publication date: 1-Jun-2014
        • (2010)Analyzing impact of multiple ABB and AVS domains on throughput of power and thermal-constrained multi-core processorsProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899771(229-234)Online publication date: 18-Jan-2010
        • (2009)ORION 2.0Proceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874721(423-428)Online publication date: 20-Apr-2009
        • (2009)Optimizing total power of many-core processors considering voltage scaling limit and process variationsProceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design10.1145/1594233.1594283(201-206)Online publication date: 19-Aug-2009
        • (2005)Total power-optimal pipelining and parallel processing under process variations in nanometer technologyProceedings of the 2005 IEEE/ACM International conference on Computer-aided design10.5555/1129601.1129677(535-540)Online publication date: 31-May-2005
        • (2005)Replacing global wires with an on-chip networkProceedings of the 2005 international symposium on Low power electronics and design10.1145/1077603.1077692(369-374)Online publication date: 8-Aug-2005
        • (2005)Analysis and mitigation of variability in subthreshold designProceedings of the 2005 international symposium on Low power electronics and design10.1145/1077603.1077610(20-25)Online publication date: 8-Aug-2005

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media