Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1356802.1356868acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Interconnect modeling for improved system-level design optimization

Published: 21 January 2008 Publication History

Abstract

Accurate modeling of delay, power, and area of interconnections early in the design phase is crucial for effective system-level optimization. Models presently used in system-level optimizations, such as network-on-chip (NoC) synthesis, are inaccurate in the presence of deep-submicron effects. In this paper, we propose new, highly accurate models for delay and power in buffered interconnects; these models are usable by system-level designers for existing and future technologies. We present a general and transferable methodology to construct our models from a wide variety of reliable sources (Liberty, LEF/ITF, ITRS, PTM, etc.). The modeling infrastructure, and a number of characterized technologies, are available as open source. Our models comprehend key interconnect circuit and layout design styles, and a power-efficient buffering technique that overcomes unrealities of previous delay-driven buffering techniques. We show that our models are significantly more accurate than previous models for global and intermediate buffered interconnects in 90nm and 65nm foundry processes - essentially matching signoff analyses. We also integrate our models in the COSI-OCC synthesis tool and show that the more accurate modeling significantly affects optimal/achievable architectures that are synthesized by the tool. The increased accuracy provided by our models enables system-level designers to obtain better assessments of the achievable performance/power/area tradeoffs for (communication-centric aspects of) system design, with negligible setup and overhead burdens.

References

[1]
S. N. Adya and I. L. Markov, "Fixed-Outline Floorplanning: Enabling Hierarchical Design", IEEE Trans. VLSI Systems, 11(2), 2003, pp. 1120--1135.
[2]
R. Arunachalam, F. Dartu and L. Pileggi, "CMOS Gate Delay Models for General RLC Loading", Proc. ICCD, 1997, pp. 224--229.
[3]
H. Bakoglu, Circuits, Interconnections and Packaging for VLSI, Addison-Wesley, 1990.
[4]
L. Benini and G. D. Micheli, "A New SoC Paradigm", IEEE Computer, 35(1), 2002, pp. 70--78.
[5]
Y. Cao, C. M. Hu, X. J. Huang, A. B. Kahng, S. Muddu, D. Stroobandt and D. Sylvester, "Effects of Global Interconnect Optimizations on Performance Estimation of Deep Submicron Design", Proc. IEEE ICCAD, 2000, pp. 56--61.
[6]
L. P. Carloni, R. Passerone, A. Pinto and A. L. Sangiovanni-Vincentelli, "Languages and Tools for Hybrid Systems Design", Foundations and Trends in Electronic Design Automation, 1, 2006, pp. 1--194.
[7]
J. Cong and D. Z. Pan, "Interconnect Delay Estimation Models for Synthesis and Design Planning", Proc. IEEE ASPDAC, 1999, pp. 507--510.
[8]
W. J. Dally and B. Towles, "Route Packets, Not Wires: On-Chip Interconnection Networks", Proc. ACM/IEEE DAC, 2001, pp. 684--689.
[9]
F. Dartu, N. Menezes and L. Pileggi, "Performance Computation for Precharacterized CMOS Gate with RC Load", IEEE Trans. on CAD, 1996, pp. 544--553.
[10]
S. Heo and K. Asanovic, "Replacing Global Wires With an On-Chip Network: A Power Analysis", Proc. ISLPED, 2005, pp. 369--374.
[11]
K. W. Mai, R. Ho and M. A. Horowitz, "The Future of Wires", Proc. IEEE, 2001, pp. 490--504.
[12]
International Technology Roadmap for Semiconductors, http://www.itrs.net.
[13]
LEF/DEF Exchange Format, http://openeda.si2.org/projects/lefdef.
[14]
Liberty File Format, http://www.synopsys.com/products/libertyccs/libertyccs.html.
[15]
G. D. Micheli and L. Benini, Networks on Chip, Morgan Kaufmann, 2006.
[16]
D. Pamunuwa, L.-R. Zheng and H. Tenhunen, "Maximizing Throughput over Parallel Wire Structures in the Deep Submicrometer Regime", IEEE Trans. on VLSI Systems 11, 2003, pp. 224--243.
[17]
L. Pillage and R. Rohrer, "Asymptotic Waveform Evaluation for Timing Analysis", IEEE Trans. on CAD 9, 1990, pp. 352--366.
[18]
A. Pinto, A. Bonivento, A. Sangiovanni-Vincentelli, R. Passerone and M. Sgroi, "System Level Design Paradigms: Platform-Based Design and Communication Synthesis", ACM TODAES, 11(3), 2006, pp. 537--563.
[19]
A. Pinto, L. P. Carloni and A. L. Sangiovanni-Vincentelli, "A Methodology and an Open Software Infrastructure for Constraint-Driven Synthesis of On-Chip Communications", Technical Report UCB/EECS-2007-130, Nov. 2007.
[20]
Predictive Technology Model, http://www.eas.asu.edu/~ptm/
[21]
A. Raghunathan, N. K. Niraj and S. Dey, High-Level Power Analysis and Optimization, Kluwer, 1998.
[22]
C. Ratzlaff and L. Pillage, "RICE: Rapid Interconnect Circuit Evaluation using AWE", IEEE Trans. on CAD 13, 1994, pp. 763--776.
[23]
S. M. Rossnagel and T. S. Kuan, "Alteration of Cu Conductivity in The Size Effect Regime", J. Vacuum Science Tech. B 22, 2004, pp. 240--247.
[24]
P. Saxena, N. Menezes, P. Cocchini and D. A. Kirkpatrick, "Repeater Scaling and its Impact on CAD", IEEE Trans. on CAD 23, 2004, pp. 451--462.
[25]
M. Shao, M. Wong, H. Cao, Y. Gao, L.-P. Yuan, L.-D. Huang and S. Lee, "Explicit Gate Delay Model for Timing Evaluation", Proc. ACM/IEEE ISPD, 2003, pp. 32--38.
[26]
S. X. Shi and D. Z. Pan, "Wire Sizing and Shaping with Scattering Effect for Nanoscale Interconnection", Proc. IEEE ASPDAC, 2006, pp. 503--508.
[27]
V. Soteriou, N. Eisley, H. Wang and L.-S. Peh, "Polaris: A System-Level Roadmap for On-Chip Interconnection Networks", Proc. ICCD, 2006, pp. 134--142.
[28]
D. Sylvester and K. Keutzer, "A Global Wiring Paradigm for Deep Submicron Design", IEEE Trans. on CAD 19, 2000, pp. 242--252.
[29]
http://vlsicad.ucsd.edu/GSRC/.

Cited By

View all
  • (2011)A tree-based topology synthesis for on-chip networkProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132471(651-658)Online publication date: 7-Nov-2011
  • (2010)Worst-case performance prediction under supply voltage and temperature variationProceedings of the 12th ACM/IEEE international workshop on System level interconnect prediction10.1145/1811100.1811121(91-96)Online publication date: 13-Jun-2010
  • (2009)ORION 2.0Proceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874721(423-428)Online publication date: 20-Apr-2009

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ASP-DAC '08: Proceedings of the 2008 Asia and South Pacific Design Automation Conference
January 2008
812 pages
ISBN:9781424419227

Sponsors

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 21 January 2008

Check for updates

Qualifiers

  • Research-article

Conference

ASPDAC '08
Sponsor:

Acceptance Rates

ASP-DAC '08 Paper Acceptance Rate 122 of 350 submissions, 35%;
Overall Acceptance Rate 466 of 1,454 submissions, 32%

Upcoming Conference

ASPDAC '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2011)A tree-based topology synthesis for on-chip networkProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132471(651-658)Online publication date: 7-Nov-2011
  • (2010)Worst-case performance prediction under supply voltage and temperature variationProceedings of the 12th ACM/IEEE international workshop on System level interconnect prediction10.1145/1811100.1811121(91-96)Online publication date: 13-Jun-2010
  • (2009)ORION 2.0Proceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874721(423-428)Online publication date: 20-Apr-2009

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media