Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2155620.2155651acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

System-level integrated server architectures for scale-out datacenters

Published: 03 December 2011 Publication History

Abstract

A System-on-Chip (SoC) integrates multiple discrete components into a single chip, for example by placing CPU cores, network interfaces and I/O controllers on the same die. While SoCs have dominated high-end embedded products for over a decade, system-level integration is a relatively new trend in servers, and is driven by the opportunity to lower cost (by reducing the number of discrete parts) and power (by reducing the pin crossings from the cores to the I/O). Today, the mounting cost pressures in scale-out dat-acenters demand technologies that can decrease the Total Cost of Ownership (TCO). At the same time, the diminshing return of dedicating the increasing number of available transistors to more cores and caches is creating a stronger case for SoC-based servers.
This paper examines system-level integration design options for the scale-out server market, specifically targeting datacenter-scale throughput computing workloads. We develop tools to model the area and power of a variety of discrete and integrated server configurations. We evaluate the benefits, trade-offs, and trends of system-level integration for warehouse-scale datacenter servers, and identify the key "uncore" components that reduce cost and power. We perform a comprehensive design space exploration at both SoC and datacenter level, identify the sweet spots, and highlight important scaling trends of performance, power, area, and cost from 45nm to 16nm. Our results show that system integration yields substantial benefits, enables novel aggregated configurations with a much higher compute density, and significantly reduces total chip area and dynamic power versus a discrete-component server.
Finally, we use utilization traces and architectural profiles of real machines to evaluate the dynamic power consumption of typical scale-out cloud applications, and combine them in an overall TCO model. Our results show that, for example at 16nm, SoC-based servers can achieve more than a 26% TCO reduction at datacenter scale.

References

[1]
http://www.calxeda.com/.
[2]
http://www.seamicro.com/.
[3]
http://www.eurocloudserver.com/.
[4]
http://www.dell.com/.
[5]
http://www.hp.com/.
[6]
AMD, "Hyper Transport Technology: Simplifying System Design," Tech. Rep., 2002.
[7]
AMD, "AMD Opteron Processor Benchmarking for Clustered Systems," AMD WhitePaper, 2003.
[8]
D. G. Andersen, et al., "FAWN: a Fast Array of Wimpy Nodes," in SOSP '09, 2009, pp. 1--14.
[9]
ARM, http://www.arm.com/products/processors/cortex-a/cortex-a9.php.
[10]
N. L. Binkert, et al., "The M5 Simulator: Modeling Networked Systems," IEEE Micro, vol. 26, no. 4, pp. 52--60, 2006.
[11]
M. Bohr, "Silicon Technology for 32 nm and Beyond System-on-Chip Products," in IDF'09, 2009.
[12]
Broadcom, "BCM57710 - Dual-Port 10G/2500/1000BASE-X TOE, RDMA, iSCSI PCI Express Ethernet Controller," Tech. Rep., 2008.
[13]
Cadence InCyte Chip Estimator, "http://www.chipestimate.com/."
[14]
B. Carlso, "Going Beyond a Faster Horse to Transform Mobile Devices," Texas Instruments, Tech. Rep., May 2011.
[15]
A. M. Caulfield, L. M. Grupp, and S. Swanson, "Gordon: Using Flash Memory to Build Fast, Power-efficient Clusters for Data-intensive Applications," in ASPLOS '09, 2009.
[16]
M. Eastwood and M. Bailey, "Server Workloads Forecasts and Analysis Study, 2005--2010," IDC Special Study, Tech. Rep., 2010.
[17]
K. Fukuda, et al., "A 12.3mW 12.5Gb/s complete transceiver in 65nm CMOS," in ISSCC'10, 2010, pp. 368--369.
[18]
J. Hamilton, "Overall Data Center Costs," http://perspectives.mvdirona.com/2010/09/18/OverallDataCenterCosts.aspx.
[19]
M. Harwood, et al., "A 12.5Gb/s SerDes in 65nm CMOS Using a Baud-Rate ADC with Digital Receiver Equalization and Clock Recovery," in ISSCC'07, 2007, pp. 436--591.
[20]
U. Hoelzle and L. A. Barroso, The Datacenter as a Computer: An Introduction to the Design of Warehouse-Scale Machines, 1st ed. Morgan and Claypool Publishers, 2009.
[21]
HP, "HP BladeSystem c-Class SAN connectivity technology brief," Tech. Rep., 2009.
[22]
Intel, http://www.intel.com/products/processor/atom/techdocs.htms.
[23]
Intel, "An Introduction to the Intel QuickPath Interconnect," Tech. Rep., 2009.
[24]
JEDEC Solid State Technology Association, "http://www.jedec.org/."
[25]
T. Johnson and U. Nawathe, "An 8-core, 64-thread, 64-bit Power Efficient Sparc SoC (Niagara2)," in ISPD, 2007.
[26]
R. Jotwani, "An x86-64 Core Implemented in 32nm SOI CMOS," in ISSCC'10, 2010.
[27]
H. Kaeslin, Digital Integrated Circuit Design: From VLSI Architectures to CMOS Fabrication, 1st ed. Cambridge University Press, April 2008.
[28]
Kaeslin, Hubert, "ASIC Cost Estimator webpage at http://www.dz.ee.ethz.ch/?id=1592."
[29]
A. Kahng, "The Road Ahead: The significance of packaging," IEEE Design and Test of Computers, vol. 19, pp. 104--105, 2002.
[30]
T. Kgil, et al., "PicoServer: Using 3D Stacking Technology to Enable a Compact Energy Efficient Chip Multiprocessor," in ASPLOS, 2006.
[31]
R. Kumar and G. Hinton, "A family of 45nm IA processors," ISSCC, pp. 58--59, 2009.
[32]
T. Lanier, "Exploring the Design of the Cortex-A15 Processor," ARM, Tech. Rep.
[33]
S. Li, et al., "McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures," in MICRO 42, 2009, pp. 469--480.
[34]
S. Li, K. Chen, J. H. Ahn, J. B. Brockman, and N. P. Jouppi, "CACTI-P: Architecture-Level Modeling for SRAM-based Structures with Advanced Leakage Reduction Techniques," in ICCAD, 2011.
[35]
K. Lim, et al., "Understanding and Designing New Server Architectures for Emerging Warehouse-Computing Environments," in ISCA '08, 2008.
[36]
Marvell, "Marvell Unveils 1.6GHz Quad-Core ARMADA XP Platform for Enterprise Class Cloud Computing Applications, The Wall Street Journal, November 2010."
[37]
C. R. Moore, "Microarchitecture in the system-level integration era," Keynote at MICRO-34, 2008.
[38]
T. Mudge and U. Holzle, "Challenges and opportunities for extremely energy efficient processors," IEEE Micro, vol. 30, 2010.
[39]
R. Palmer, et al., "A 14mW 6.25Gb/s Transceiver in 90nm CMOS for Serial Chip-to-Chip Communications," in ISSCC'07, 2007, pp. 440--614.
[40]
PCI Special Interest Group, "http://www.pcisig.com/."
[41]
K. K. Ram, J. R. Santos, Y. Turner, A. L. Cox, and S. Rixner, "Achieving 10 Gb/s using safe and transparent network interface virtualization," in VEE, 2009, pp. 61--70.
[42]
E. Riedel, G. A. Gibson, and C. Faloutsos, "Active storage for large-scale data mining and multimedia," in VLDB '98, 1998, pp. 62--73.
[43]
S. Rusu, et al., "A 65-nm Dual-Core Multithreaded Xeon Processor With 16-MB L3 Cache," IEEE Journal of Solid-State Circuits, vol. 42, no. 1, Jan 2007.
[44]
Semiconductor Industries Association, "International Technology Roadmap for Semiconductors./Model for Assessment of CMOS Technologies and Roadmaps (MASTAR) http://www.itrs.net/."
[45]
Serial ATA International Organization, "http://www.sata-io.org/."
[46]
J. Shin, et al., "A 40nm 16-Core 128-Thread CMT SPARC SoC Processor," in ISSCC'10, 2010, pp. 98--99.
[47]
M. Yuffe, E. Knoll, M. Mehalel, J. Shor, and T. Kurts, "A Fully Integrated Multi-CPU, GPU and Memory Controller 32nm Processor," in ISSCC, Feb. 2011, pp. 264--266.

Cited By

View all
  • (2024)CoolDC: A Cost-Effective Immersion-Cooled Datacenter with Workload-Aware Temperature ScalingACM Transactions on Architecture and Code Optimization10.1145/366492521:3(1-27)Online publication date: 14-May-2024
  • (2022)Fortified-NoC: A Robust Approach for Trojan-Resilient Network-on-Chips to Fortify Multicore-Based Consumer ElectronicsIEEE Transactions on Consumer Electronics10.1109/TCE.2021.312915568:1(57-68)Online publication date: Feb-2022
  • (2021)Energy-Efficient Stable and Balanced Task Scheduling in Data CentersIEEE Transactions on Sustainable Computing10.1109/TSUSC.2020.29997176:2(306-319)Online publication date: 1-Apr-2021
  • Show More Cited By

Index Terms

  1. System-level integrated server architectures for scale-out datacenters

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    MICRO-44: Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
    December 2011
    519 pages
    ISBN:9781450310536
    DOI:10.1145/2155620
    • Conference Chair:
    • Carlo Galuzzi,
    • General Chair:
    • Luigi Carro,
    • Program Chairs:
    • Andreas Moshovos,
    • Milos Prvulovic
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 03 December 2011

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. TCO
    2. cost
    3. datacenter
    4. server
    5. system-on-chip

    Qualifiers

    • Research-article

    Conference

    MICRO-44
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 484 of 2,242 submissions, 22%

    Upcoming Conference

    MICRO '24

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)19
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 21 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)CoolDC: A Cost-Effective Immersion-Cooled Datacenter with Workload-Aware Temperature ScalingACM Transactions on Architecture and Code Optimization10.1145/366492521:3(1-27)Online publication date: 14-May-2024
    • (2022)Fortified-NoC: A Robust Approach for Trojan-Resilient Network-on-Chips to Fortify Multicore-Based Consumer ElectronicsIEEE Transactions on Consumer Electronics10.1109/TCE.2021.312915568:1(57-68)Online publication date: Feb-2022
    • (2021)Energy-Efficient Stable and Balanced Task Scheduling in Data CentersIEEE Transactions on Sustainable Computing10.1109/TSUSC.2020.29997176:2(306-319)Online publication date: 1-Apr-2021
    • (2019)DistCacheProceedings of the 17th USENIX Conference on File and Storage Technologies10.5555/3323298.3323313(143-157)Online publication date: 25-Feb-2019
    • (2018)A Cost Model for IaaS Clouds Based on Virtual Machine Energy ConsumptionJournal of Grid Computing10.1007/s10723-018-9440-816:3(493-512)Online publication date: 1-Sep-2018
    • (2017)A survey on software methods to improve the energy efficiency of parallel computingInternational Journal of High Performance Computing Applications10.1177/109434201666547131:6(517-549)Online publication date: 1-Nov-2017
    • (2017)Oasis: Scaling Out Datacenter Sustainably and EconomicallyIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2016.261562528:7(1960-1973)Online publication date: 1-Jul-2017
    • (2017)Are Low-Power SoCs Feasible for Heterogenous HPC Workloads?Euro-Par 2016: Parallel Processing Workshops10.1007/978-3-319-58943-5_61(763-774)Online publication date: 28-May-2017
    • (2016)A Cost Model for IaaS Clouds based on Virtual Machine Energy ConsumptionProceedings of the XII Brazilian Symposium on Information Systems on Brazilian Symposium on Information Systems: Information Systems in the Cloud Computing Era - Volume 110.5555/3021955.3021979(136-143)Online publication date: 17-May-2016
    • (2016)Toward Multi-Layer Holistic Evaluation of System DesignsIEEE Computer Architecture Letters10.1109/LCA.2015.244587715:1(58-61)Online publication date: 1-Jan-2016
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media