Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1109/ISCA.2008.37acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article

Understanding and Designing New Server Architectures for Emerging Warehouse-Computing Environments

Published: 01 June 2008 Publication History

Abstract

This paper seeks to understand and design next-generation servers for emerging "warehouse-computing" environments. We make two key contributions. First, we put together a detailed evaluation infrastructure including a new benchmark suite for warehouse-computing workloads, and detailed performance, cost, and power models, to quantitatively characterize bottlenecks. Second, we study a new solution that incorporates volume non-server-class components in novel packaging solutions, with memory sharing and flash-based disk caching. Our results show that this approach has promise, with a 2X improvement on average in performance-per-dollar for our benchmark suite.

References

[1]
AMD. AMD Geode LX¿ Processor Family. http://www.amd.com/geodelx900.
[2]
APC. InfraStruXure® Express On-demand Mobile Data Center. http://www.apc.com.
[3]
L. Barroso. Warehouse-scale Computers. Invited talk at the USENIX Annual Technical Conference, Santa Clara, CA, June 2007.
[4]
L. Barroso, J. Dean, and U. Holzle. Web Search for a Planet: The Google Cluster Architecture. IEEE Micro, 23(2), March/April 2003.
[5]
R. Bedichek. SimNow¿: Fast Platform Simulation Purely in Software. In HotChips 16, 2004.
[6]
R. Bryant. Data Intensive Super Computing. In FCRC, 2007. Keynote.
[7]
J. Dean and S. Ghemawat. MapReduce: Simplified Data Processing on Large Clusters. In OSDI-6, 2004.
[8]
DRAMeXchange. DRAM Price Quotes. http://www.dramexchange.com.
[9]
M. Ekman and P. Stenstrom. A Cost-Effective Main Memory Organization for Future Servers. In PDPS, 2005.
[10]
A. Falcon, P. Faraboschi, and D. Ortega. Combining Simulation and Virtualization through Dynamic Sampling. In ISPASS, 2007.
[11]
X. Fan, W. Weber, and L. Barroso. Power Provisioning for a Warehouse-sized Computer. In ISCA-34, 2007.
[12]
W. Felter, T. Keller, M. Kistler, C. Lefurgy, K. Rajamani, R. Rajamony, F. Rawson, B. Smith, and E. Van Hensbergen. On the Performance and Use of Dense Servers. IBM Journal of Rearch and Development, 47(5/6), 2003.
[13]
Apache Software Foundation. Hadoop. http://lucene.apache.org/hadoop/index.html.
[14]
P. Gill, M. Arlitt, Z. Li, and A. Mahanti. YouTube Traffic Characterization: A View From the Edge. In Internet Measurement Conference, 2007.
[15]
B. Holden. Latency Comparison Between HyperTransport and PCI-Express in Communication Systems. Technical report, HyperTransport Consortium White Paper, November 2006.
[16]
Silicon Mechanics Inc. Xeon 3000 1U Server. http://www.siliconmechanics.com/i7573/xeon-3000-server.php.
[17]
Intel. Intel Microprocessor Family Quick Reference Guide. http://www.intel.com/pressroom/kits/quickreffam.htm.
[18]
Intel. Intel Server/Workstation Chipsets Comparison Chart. http://developer.intel.com/products/chipsets/index.htm.
[19]
R. Katz. Research Directions in Internet-Scale Computing. Keynote presentation, 3rd International Week on Management of Networks and Services, 2007.
[20]
T. Kgil and T. Mudge. FlashCache: a NAND Flash Memory File Cache for Low Power Web Servers. In CASES'06, 2006.
[21]
R. Khare, D. Cutting, K. Sitaker, and A. Rifkin. Nutch: A Flexible and Scalable Open-Source Web Search Engine. Technical Report CN-TR-04-04, CommerceNet Labs, November 2004.
[22]
A.R. Lebeck, X. Fan, H. Zeng, and C. Ellis. Power Aware Page Allocation. In ASPLOX-IX, 2000.
[23]
K. Leigh, P. Ranganathan, and J. Subhlok. Fabric Convergence Implications on Systems Architecture. In HPCA 14, 2008.
[24]
Micron. DDR2 Memory Power Calculator. http://download.micron.com/downloads/misc/ddr2_power_calc_web .xls.
[25]
C. Moore. A Framework for Innovation. Keynote, FCRC, 2007.
[26]
G. Papadopoulos. Redshift: The Explosion of Massive Scale Systems. Analyst Summit, 2007.
[27]
C. Patel and P. Ranganathan. Enterprise Power and Cooling: A Chip-to-DataCenter Perspective. In HotChips 19, August 2007. Tutorial.
[28]
C. Patel and A. Shah. Cost Model for Planning, Development and Operation of a Data Center. Technical Report HPL-2005- 107R1, Hewlett Packard Technical Report, 2005.
[29]
PCIMG. Advanced TCA Specification. http://www.picmg.org/pdf/PICMG_3_0_Shortform.pdf.
[30]
PLX. PLX PCIe Switch Power Consumption. http:// www.plxtech.com/pdf/technical/expresslane/Power_Consumption_E xplained.pdf.
[31]
P. Ranganathan, P. Leech, D. Irwin, and J. Chase. Ensemble-level Power Management for Dense Blade Servers. In ISCA-33, 2006.
[32]
Seagate. Barracuda 7200.10 Data Sheet. http://www.seagate.com/docs/pdf/datasheet/disc/ds_barracuda_7200 _10.pdf.
[33]
H. Simon. Energy Efficiency and Computing. In Lawrence Berkeley National Laboratory, 2007. Keynote.
[34]
A. Sucharitakul. Benchmarking in the Web 2.0 Era. In IISWC 2007, 2007. Panel Session.
[35]
Microsoft Technet. Tools for Performance Stressing Exchange 2003 Servers. 2003, http://technet.microsoft.com/enus/library/aa996207.aspx.
[36]
C. Thacker. Rethinking Data Centers. Invited talk at Stanford University Networking Seminar, October 2007.
[37]
R. Tremaine, P. Franaszek, J. Robinson, C. Schulz, T, Smith, M. Wazlowski, and P. Bland. IBM Memory Expansion Technology (MXT). IBM Journal of Rearch and Development, 45(2), 2001.
[38]
C.A. Waldspurger. Memory Resource Management in VMware ESX Server. In OSDI-4, 2002.
[39]
M. Wu and W. Zwaenepoel. eNVy: A Non-Volatile, Main Memory Storage System. In ASPLOS, 1994.
[40]
Y. Xie and D. O'Hallaron. Locality in Search Engine Queries and Its Implications for Caching. In Infocomm, 2002.

Cited By

View all
  • (2023)Polling-Based Memory InterfaceACM Transactions on Design Automation of Electronic Systems10.1145/357291928:3(1-23)Online publication date: 10-May-2023
  • (2020)Performance Modeling and Evaluation of a Production Disaggregated Memory SystemProceedings of the International Symposium on Memory Systems10.1145/3422575.3422795(223-232)Online publication date: 28-Sep-2020
  • (2019)Getting more performance with polymorphism from emerging memory technologiesProceedings of the 12th ACM International Conference on Systems and Storage10.1145/3319647.3325826(8-20)Online publication date: 22-May-2019
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '08: Proceedings of the 35th Annual International Symposium on Computer Architecture
June 2008
449 pages
ISBN:9780769531748
  • cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 36, Issue 3
    June 2008
    449 pages
    ISSN:0163-5964
    DOI:10.1145/1394608
    Issue’s Table of Contents

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 01 June 2008

Check for updates

Author Tags

  1. Server architecture
  2. evaluation
  3. warehouse-computing

Qualifiers

  • Article

Conference

ISCA08
Sponsor:

Acceptance Rates

ISCA '08 Paper Acceptance Rate 37 of 259 submissions, 14%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)27
  • Downloads (Last 6 weeks)4
Reflects downloads up to 21 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Polling-Based Memory InterfaceACM Transactions on Design Automation of Electronic Systems10.1145/357291928:3(1-23)Online publication date: 10-May-2023
  • (2020)Performance Modeling and Evaluation of a Production Disaggregated Memory SystemProceedings of the International Symposium on Memory Systems10.1145/3422575.3422795(223-232)Online publication date: 28-Sep-2020
  • (2019)Getting more performance with polymorphism from emerging memory technologiesProceedings of the 12th ACM International Conference on Systems and Storage10.1145/3319647.3325826(8-20)Online publication date: 22-May-2019
  • (2019)Evaluation of Hardware Data Prefetchers on Server ProcessorsACM Computing Surveys10.1145/331274052:3(1-29)Online publication date: 18-Jun-2019
  • (2019)VeniceACM Transactions on Computer Systems10.1145/331036036:1(1-26)Online publication date: 14-Mar-2019
  • (2019)Writeback-Aware LLC Management for PCM-Based Main Memory SystemsACM Transactions on Design Automation of Electronic Systems10.1145/329200924:2(1-19)Online publication date: 10-Jan-2019
  • (2018)MorpheusACM SIGOPS Operating Systems Review10.1145/3273982.327398952:1(71-83)Online publication date: 28-Aug-2018
  • (2017)Architectural Support for Server-Side PHP ProcessingACM SIGARCH Computer Architecture News10.1145/3140659.308023445:2(507-520)Online publication date: 24-Jun-2017
  • (2017)Architectural Support for Server-Side PHP ProcessingProceedings of the 44th Annual International Symposium on Computer Architecture10.1145/3079856.3080234(507-520)Online publication date: 24-Jun-2017
  • (2016)TreadmillACM SIGARCH Computer Architecture News10.1145/3007787.300118644:3(456-468)Online publication date: 18-Jun-2016
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media