Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2132325.2132479acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

CACTI-P: architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques

Published: 07 November 2011 Publication History

Abstract

This paper introduces CACTI-P, the first architecture-level integrated power, area, and timing modeling framework for SRAM-based structures with advanced leakage power reduction techniques. CACTI-P supports modeling of major leakage power reduction approaches including power-gating, long channel devices, and Hi-k metal gate devices. Because it accounts for implementation overheads, CACTI-P enables in-depth study of architecture-level tradeoffs for advanced leakage power management schemes. We illustrate the potential applicability of CACTI-P in the design and analysis of leakage power reduction techniques of future manycore processors by applying nanosecond scale power-gating to different levels of cache for a 64 core multithreaded architecture at the 22nm technology. Combining results from CACTI-P and a performance simulator, we find that although nanosecond scale power-gating is a powerful way to minimize leakage power for all levels of caches, its severe impacts on processor performance and energy when being used for L1 data caches make nanosecond scale power-gating a better fit for caches closer to main memory.

References

[1]
"CACTI 6.5," http://www.hpl.hp.com/research/cacti.
[2]
"McSim: a Manycore Simulation Infrastructure," http://scale.snu.ac.kr/mcsim.
[3]
M. Anis, S. Areibi, M. Mahmoud, and M. Elmasry, "Dynamic and Leakage Power Reduction in MTCMOS Circuits Using an Automated Efficient Gate Clustering Technique," 2002, pp. 480--485.
[4]
V. George, et al., "Penryn: 45-nm Next Generation Intel Core 2 Processor," in ASSCC'07 IEEE Asian Solid-State Circuits Conference, 2007.
[5]
F. Hamzaoglu, et al., "A 3.8 GHz 153 Mb SRAM Design With Dynamic Stability Enhancement and Leakage Reduction in 45 nm High-k Metal Gate CMOS Technology," IEEE Journal of Solid-State Circuits, Jan 2009.
[6]
J. L. Henning, "Performance Counters and Development of SPEC CPU2006," Computer Architecture News, vol. 35, no. 1, 2007.
[7]
J. Kao, S. Narendra, and A. Chandrakasan, "MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns," in in DAC, 1998, pp. 495--500.
[8]
P. Kongetira, K. Aingaran, and K. Olukotun, "Niagara: A 32-Way Multithreaded Sparc Processor," IEEE Micro, vol. 25, no. 2, 2005.
[9]
S. Li, J. Ahn, J. B. Brockman, and N. P. Jouppi, "McPAT 1.0: An Integrated Power, Area, and Timing Modeling Framework for Multicore Architectures," HP Labs, Tech. Rep. HPL-2009-206, 2009.
[10]
S. Li, et al., "McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures," in MICRO 42: Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, 2009, pp. 469--480.
[11]
C. Long and L. He, "Distributed Sleep Transistor Network for Power Reduction," IEEE Trans. Very Large Scale Integr. Syst., vol. 12, pp. 937--946, September 2004.
[12]
K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, "Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits," Proceedings of the IEEE, vol. 91, no. 2, pp. 305--327, 2003.
[13]
S. Rusu, S. Tam, H. Muljono, D. Ayers, and J. Chang, "A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache," in ISSCC, 2006.
[14]
Semiconductor Industries Association, "International Technology Roadmap for Semiconductors (ITRS)/Model for Assessment of CMOS Technologies and Roadmaps (MASTAR) http://www.itrs.net/."
[15]
S. Thoziyoor, J. Ahn, M. Monchiero, J. Brockman, and N. Jouppi, "A Comprehensive Memory Modeling Tool and its Application to the Design and Analysis of Future Memory Hierarchies," in ISCA, 2008.
[16]
Y. Wang, et al., "A 4.0 GHz 291 Mb Voltage-Scalable SRAM Design in a 32 nm High-k + Metal-Gate CMOS Technology With Integrated Power Management," IEEE Journal of Solid-state Circuits, vol. 45, pp. 103--110, 2010.
[17]
N. Weste and D. Harris, CMOS VLSI Design: A Circuits and Systems Perspective. 3rd Edition, 2004.
[18]
S. Wilton and N. P. Jouppi, "An Enhanced Access and Cycle Time Model for On-Chip Caches," DEC WRL, Tech. Rep. technical report number 93/5, 1994.
[19]
X. Xi, K. M. Cao, H. Wan, M. Chan, and C. Hu, "BSIM4.2.1 MOSFET Model," Department of Electrical Engineering and Computer Sciences University of California, Berkeley, Tech. Rep., 2001.
[20]
K. Zhang, et al., "SRAM Design on 65-nm CMOS Technology with Dynamic Sleep Transistor for Leakage Reduction," JSSC, vol. 40, no. 4, pp. 895--901, 2005.

Cited By

View all
  • (2021)High-throughput Near-Memory Processing on CNNs with 3D HBM-like MemoryACM Transactions on Design Automation of Electronic Systems10.1145/346097126:6(1-20)Online publication date: 28-Jun-2021
  • (2021)Early Address PredictionACM Transactions on Architecture and Code Optimization10.1145/345888318:3(1-22)Online publication date: 8-Jun-2021
  • (2021)Decreasing the Miss Rate and Eliminating the Performance Penalty of a Data Filter CacheACM Transactions on Architecture and Code Optimization10.1145/344904318:3(1-22)Online publication date: 10-May-2021
  • Show More Cited By
  1. CACTI-P: architecture-level modeling for SRAM-based structures with advanced leakage reduction techniques

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '11: Proceedings of the International Conference on Computer-Aided Design
    November 2011
    844 pages
    ISBN:9781457713989
    • General Chair:
    • Joel Phillips,
    • Program Chairs:
    • Alan J. Hu,
    • Helmut Graeb

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 07 November 2011

    Check for updates

    Author Tags

    1. SRAM
    2. cache
    3. circuit modeling
    4. leakage power management
    5. manycore processor
    6. power-gating

    Qualifiers

    • Research-article

    Conference

    ICCAD '11
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)26
    • Downloads (Last 6 weeks)5
    Reflects downloads up to 09 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)High-throughput Near-Memory Processing on CNNs with 3D HBM-like MemoryACM Transactions on Design Automation of Electronic Systems10.1145/346097126:6(1-20)Online publication date: 28-Jun-2021
    • (2021)Early Address PredictionACM Transactions on Architecture and Code Optimization10.1145/345888318:3(1-22)Online publication date: 8-Jun-2021
    • (2021)Decreasing the Miss Rate and Eliminating the Performance Penalty of a Data Filter CacheACM Transactions on Architecture and Code Optimization10.1145/344904318:3(1-22)Online publication date: 10-May-2021
    • (2021)DiAG: a dataflow-inspired architecture for general-purpose processorsProceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3445814.3446703(93-106)Online publication date: 19-Apr-2021
    • (2021)GretchACM Transactions on Architecture and Code Optimization10.1145/343980318:2(1-25)Online publication date: 9-Feb-2021
    • (2021)SPACEProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00059(679-691)Online publication date: 14-Jun-2021
    • (2021)A cost-effective entangling prefetcher for instructionsProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00017(99-111)Online publication date: 14-Jun-2021
    • (2021)Exploiting page table locality for agile TLB prefetchingProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00016(85-98)Online publication date: 14-Jun-2021
    • (2020)Regional Out-of-Order Writes in Total Store OrderProceedings of the ACM International Conference on Parallel Architectures and Compilation Techniques10.1145/3410463.3414645(205-216)Online publication date: 30-Sep-2020
    • (2019)GPU snapshotProceedings of the ACM International Conference on Supercomputing10.1145/3330345.3330361(171-183)Online publication date: 26-Jun-2019
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media