JP4090492B2 - ケイ素の異方性エッチング法 - Google Patents
ケイ素の異方性エッチング法 Download PDFInfo
- Publication number
- JP4090492B2 JP4090492B2 JP2007001632A JP2007001632A JP4090492B2 JP 4090492 B2 JP4090492 B2 JP 4090492B2 JP 2007001632 A JP2007001632 A JP 2007001632A JP 2007001632 A JP2007001632 A JP 2007001632A JP 4090492 B2 JP4090492 B2 JP 4090492B2
- Authority
- JP
- Japan
- Prior art keywords
- etching
- motomeko
- silicon substrate
- polymerization
- ion energy
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000005530 etching Methods 0.000 title claims description 138
- 229910052710 silicon Inorganic materials 0.000 title claims description 63
- 239000010703 silicon Substances 0.000 title claims description 63
- 238000000034 method Methods 0.000 claims description 103
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 62
- 239000000758 substrate Substances 0.000 claims description 61
- 238000006116 polymerization reaction Methods 0.000 claims description 46
- 150000002500 ions Chemical class 0.000 claims description 40
- 230000008569 process Effects 0.000 claims description 39
- 229920000642 polymer Polymers 0.000 claims description 35
- 229910052731 fluorine Inorganic materials 0.000 claims description 19
- 239000011737 fluorine Substances 0.000 claims description 19
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 18
- 239000007789 gas Substances 0.000 claims description 18
- 239000000203 mixture Substances 0.000 claims description 12
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 11
- 229910052786 argon Inorganic materials 0.000 claims description 9
- 239000000463 material Substances 0.000 claims description 9
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 claims description 8
- 229920006254 polymer film Polymers 0.000 claims description 6
- 229910018503 SF6 Inorganic materials 0.000 claims description 5
- 239000002244 precipitate Substances 0.000 claims description 5
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 claims description 5
- 229960000909 sulfur hexafluoride Drugs 0.000 claims description 5
- 238000001816 cooling Methods 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 4
- 229910052734 helium Inorganic materials 0.000 claims description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 3
- 239000000460 chlorine Substances 0.000 claims description 3
- 229910052801 chlorine Inorganic materials 0.000 claims description 3
- 238000001020 plasma etching Methods 0.000 claims description 3
- 239000002994 raw material Substances 0.000 claims description 3
- 238000007664 blowing Methods 0.000 claims 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 claims 1
- -1 polytetrafluoroethylene Polymers 0.000 claims 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 claims 1
- 239000004810 polytetrafluoroethylene Substances 0.000 claims 1
- 230000005855 radiation Effects 0.000 claims 1
- 238000006243 chemical reaction Methods 0.000 description 10
- 230000015572 biosynthetic process Effects 0.000 description 7
- 230000009471 action Effects 0.000 description 5
- 230000008901 benefit Effects 0.000 description 4
- 150000001768 cations Chemical class 0.000 description 3
- 239000000178 monomer Substances 0.000 description 3
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 230000002269 spontaneous effect Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- 238000002309 gasification Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000000750 progressive effect Effects 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 239000003039 volatile agent Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3085—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00436—Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
- B81C1/00555—Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
- B81C1/00619—Forming high aspect ratio structures having deep steep walls
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0111—Bulk micromachining
- B81C2201/0112—Bosch process
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24479—Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
- Y10T428/24521—Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Geometry (AREA)
- Drying Of Semiconductors (AREA)
Description
プラズマを用いる、ケイ素中での、特に、エッチングマスクで定義された構造、殊に側面で正確に定義された切欠部の異方性エッチング法において、異方性エッチング工程を、分かれた、それぞれ交互に連続するエッチング−及び重合工程で実施することを特徴とする、異方性エッチング法
により解決される。
重合工程及びエッチング工程を、互いに無関係に制御することを特徴とする、請求項1記載の方法、
エッチング工程を、プラズマ中でのポリマー形成物なしに実施することを特徴とする、前記請求項のうち1項に記載の方法、
重合工程の間に、エッチングマスクにより定義された構造の側面の範囲上へポリマーを施与し、このポリマーを引き続くエッチング工程の間に部分的に再び取り除くことを特徴とする、前記請求項のうち1項に記載の方法、
エッチング工程を、エッチング深さを決定する時間にわたって実施することを特徴とする、前記請求項のうち1項に記載の方法、
重合工程を、ポリマー析出物の厚さを決定する時間にわたって実施することを特徴とする、前記請求項のうち1項に記載の方法、
ケイ素基板を、エッチング工程の間に、イオンエネルギーで衝撃することを特徴とする、前記請求項のうち1項に記載の方法、
ケイ素基板を、選択的に、重合工程の間に、イオンエネルギーで衝撃することを特徴とする、前記請求項のうち1項に記載の方法、
エッチング工程間のイオンエネルギーは、1〜50eV、特に5〜30eVの間であることを特徴とする、前記請求項のうち1項に記載の方法、
重合工程間のイオンエネルギーは、1〜10eV、特に4〜6eV、殊に5eVであることを特徴とする、前記請求項のうち1項に記載の方法、
エッチング工程のために、フッ素供給エッチングガスを使用することを特徴とする、前記請求項のうち1項に記載の方法、
エッチング工程のために、六フッ化硫黄SF6とアルゴンArとの混合物を使用することを特徴とする、前記請求項のうち1項に記載の方法。
重合工程のために、特に低いフッ素対炭素比を有するフッ化炭化水素を使用することを特徴とする、前記請求項のうち1項に記載の方法。
重合工程のために、トリフルオロメタンCHF3とアルゴンArとの混合物を使用することを特徴とする、前記請求項のうち1項に記載の方法、
エッチング工程及び重合工程のために使用した媒体は、特に0〜100sccmのガス流及び特に10〜100μbarの工程圧を有することを特徴とする、前記請求項のうち1項に記載の方法、
プラズマ発生は、特にマイクロ波照射を用いて、100〜1500W、特に300〜1200Wの出力で行なわれることを特徴とする、前記請求項のうち1項に記載の方法、
ケイ素基板を、エッチング工程及び/又は重合工程間に冷却することを特徴とする、前記請求項のうち1項に記載の方法、
ケイ素基板の裏面にヘリウムガス流を吹き当てることを特徴とする、前記請求項のうち1項に記載の方法、
ケイ素基板を、熱コンタクト材料を介して、冷却した基板電極上に設けることを特徴とする、前記請求項のうち1項に記載の方法、
エッチング工程及び重合工程を、高いプラズマ密度の反応性種類及びイオンを用いて実施することを特徴とする、前記請求項のうち1項に記載の方法、
プラズマ密度及びイオンエネルギーを互いに無関係に調整することを特徴とする、前記請求項のうち1項に記載の方法
に記載した特徴から生じる。
尚、本発明に係る異方性エッチング方法では、エッチング工程において、プラズマ中にポリマー原料(ポリマー形成物)が存在しない状態でエッチングを実施するのが好ましい。
また、重合工程においては、特に低いフッ素対炭素比を有するフッ化炭化水素をポリマー原料として使用することが好ましい。
また、重合工程及びエッチング工程を、互いに独立に制御する。
また、重合工程間にケイ素基板を衝撃するイオンエネルギーは、1〜10eV、特に4〜6eVであることが好ましい。
また、エッチング工程間にケイ素基板を衝撃するイオンエネルギーは、1〜50eV、特に5〜30eVの間であることが好ましい。
また、プラズマの発生は、特にマイクロ波照射を用いて、100〜1500W、特に300〜1200Wの出力で行われることが好ましい。
更に、ケイ素基板は、熱コンタクト材料を介して、冷却した基板電極上に配置するようにしてもよい。
Claims (22)
- エッチングマスクを介してケイ素基板をプラズマエッチングにより深堀りエッチングする異方性エッチング法において、
フッ化炭化水素をポリマー原料として用いて、前記エッチングマスクにより定義された前記ケイ素基板の被エッチング部の少なくとも側面に、塩素を含有しないポリテトラフルオロエチレンタイプのポリマー膜を形成する重合工程と、
フッ素供給エッチングガスを用いて、前記被エッチング部をエッチングするエッチング工程とを有することを特徴とする、異方性エッチング方法。 - 前記エッチング工程及び前記重合工程を交互に連続して実行することを特徴とする、請求項1記載の方法。
- 前記エッチング工程における工程圧は10μbar〜100μbarであることを特徴とする、請求項1又は2記載の方法。
- 前記ケイ素基板は反応器において基板電極上に配置されており、
前記エッチング工程において、前記基板電極に低出力の高周波を付与し、プラズマ中に前記ポリマー原料が存在しない状態で前記エッチングを実施し、前記重合工程において形成されたポリマー膜を部分的に再び取り除き、
前記重合工程において、少なくとも前記被エッチング部の前記エッチング工程において新たに露出された部分を前記ポリマー膜によって被覆し、
前記重合工程及びエッチング工程を、互いに独立して制御する、ことを特徴とする、請求項1乃至3のいずれか1項に記載の方法。 - 前記エッチング工程を、エッチング深さを決定する時間にわたって実施することを特徴とする、請求項1乃至4のいずれか1項に記載の方法。
- 前記重合工程を、ポリマー析出物の厚さを決定する時間にわたって実施することを特徴とする、請求項1乃至5のいずれか1項に記載の方法。
- 前記ケイ素基板を、前記重合工程の間に、イオンエネルギーで衝撃することを特徴とする、請求項1乃至6のいずれか1項に記載の方法。
- 前記重合工程間のイオンエネルギーは1〜10eVであることを特徴とする、請求項7記載の方法。
- 前記重合工程間のイオンエネルギーは4〜6eVであることを特徴とする、請求項8記載の方法。
- 前記ケイ素基板を前記エッチング工程の間にイオンエネルギーで衝撃することを特徴とする、請求項1乃至9のいずれか1項に記載の方法。
- 前記エッチング工程間のイオンエネルギーは1〜50eVの間であることを特徴とする、請求項10記載の方法。
- 前記エッチング工程間のイオンエネルギーは5〜30eVの間であることを特徴とする、請求項11記載の方法。
- 前記エッチング工程のために、六フッ化硫黄SF6とアルゴンArとの混合物を使用することを特徴とする、請求項1乃至12のいずれか1項に記載の方法。
- 前記重合工程のために、トリフルオロメタンCHF3とアルゴンArとの混合物を使用することを特徴とする、請求項1乃至13のいずれか1項に記載の方法。
- 前記エッチング工程及び重合工程のために使用した媒体は、0〜100sccmのガス流及び10〜100μbarの工程圧を有することを特徴とする、請求項1乃至14のいずれか1項に記載の方法。
- プラズマ発生は、マイクロ波照射を用いて、100〜1500Wの出力で行なわれることを特徴とする、請求項1乃至15のいずれか1項に記載の方法。
- プラズマ発生は、マイクロ波照射を用いて、300〜1200Wの出力で行なわれることを特徴とする、請求項16記載の方法。
- 前記ケイ素基板を、前記エッチング工程及び/又は重合工程間に冷却することを特徴とする、請求項1乃至17のいずれか1項に記載の方法。
- 前記ケイ素基板の裏面にヘリウムガス流を吹き当てることを特徴とする、請求項1乃至18のいずれか1項に記載の方法。
- 前記ケイ素基板を、熱コンタクト材料を介して、冷却した前記基板電極上に設けることを特徴とする、請求項1乃至19のいずれか1項に記載の方法。
- 前記エッチング工程及び重合工程を、高いプラズマ密度の反応性種及びイオンを用いて実施することを特徴とする、請求項1乃至20のいずれか1項に記載の方法。
- プラズマ密度及びイオンエネルギーを互いに無関係に調整することを特徴とする、請求項1乃至21のいずれか1項に記載の方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
DE4241045A DE4241045C1 (de) | 1992-12-05 | 1992-12-05 | Verfahren zum anisotropen Ätzen von Silicium |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP6513639A Division JPH07503815A (ja) | 1992-12-05 | 1993-11-27 | ケイ素の異方性エッチング法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2007129260A JP2007129260A (ja) | 2007-05-24 |
JP4090492B2 true JP4090492B2 (ja) | 2008-05-28 |
Family
ID=6474524
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP6513639A Withdrawn JPH07503815A (ja) | 1992-12-05 | 1993-11-27 | ケイ素の異方性エッチング法 |
JP2007001632A Expired - Lifetime JP4090492B2 (ja) | 1992-12-05 | 2007-01-09 | ケイ素の異方性エッチング法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP6513639A Withdrawn JPH07503815A (ja) | 1992-12-05 | 1993-11-27 | ケイ素の異方性エッチング法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US5501893A (ja) |
EP (1) | EP0625285B1 (ja) |
JP (2) | JPH07503815A (ja) |
DE (1) | DE4241045C1 (ja) |
WO (1) | WO1994014187A1 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8633116B2 (en) | 2010-01-26 | 2014-01-21 | Ulvac, Inc. | Dry etching method |
KR20180123982A (ko) | 2017-05-10 | 2018-11-20 | 가부시기가이샤 디스코 | 피가공물의 가공 방법 |
KR20200034597A (ko) | 2018-09-21 | 2020-03-31 | 가부시기가이샤 디스코 | 웨이퍼의 가공 방법 |
Families Citing this family (648)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3367113B2 (ja) | 1992-04-27 | 2003-01-14 | 株式会社デンソー | 加速度センサ |
US5461916A (en) * | 1992-08-21 | 1995-10-31 | Nippondenso Co., Ltd. | Mechanical force sensing semiconductor device |
US5734105A (en) | 1992-10-13 | 1998-03-31 | Nippondenso Co., Ltd. | Dynamic quantity sensor |
DE4241453C2 (de) * | 1992-12-09 | 1995-04-20 | Daimler Benz Ag | Verfahren zum Plasmaätzen von Gräben in Silizium |
DE4442033C2 (de) * | 1994-11-25 | 1997-12-18 | Bosch Gmbh Robert | Drehratensensor |
DE4442023C2 (de) * | 1994-11-25 | 1997-02-06 | Bosch Gmbh Robert | Siliziumkörper mit einem Durchbruch mit frei definierbarer Austrittsöffnung und Verfahren zu seiner Herstellung |
DE19503623B4 (de) * | 1995-02-03 | 2008-01-10 | Robert Bosch Gmbh | Drehratensensor |
EP0729175A1 (en) * | 1995-02-24 | 1996-08-28 | International Business Machines Corporation | Method for producing deep vertical structures in silicon substrates |
US5932940A (en) | 1996-07-16 | 1999-08-03 | Massachusetts Institute Of Technology | Microturbomachinery |
GB9616225D0 (en) * | 1996-08-01 | 1996-09-11 | Surface Tech Sys Ltd | Method of surface treatment of semiconductor substrates |
EP0822582B1 (en) * | 1996-08-01 | 2003-10-01 | Surface Technology Systems Plc | Method of etching substrates |
DE19641288A1 (de) * | 1996-10-07 | 1998-04-09 | Bosch Gmbh Robert | Verfahren zum anisotropen Plasmaätzen verschiedener Substrate |
DE19706682C2 (de) * | 1997-02-20 | 1999-01-14 | Bosch Gmbh Robert | Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium |
DE19730644C1 (de) * | 1997-07-17 | 1998-11-19 | Bosch Gmbh Robert | Verfahren zum Erkennen des Übergangs unterschiedlicher Materialien in Halbleiterstrukturen bei einer anisotropen Tiefenätzung |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
DE19734278C1 (de) * | 1997-08-07 | 1999-02-25 | Bosch Gmbh Robert | Vorrichtung zum anisotropen Ätzen von Substraten |
DE19736370C2 (de) * | 1997-08-21 | 2001-12-06 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silizium |
DE69942486D1 (de) | 1998-01-15 | 2010-07-22 | Cornell Res Foundation Inc | Grabenisolation für mikromechanische bauelemente |
KR20010012688A (ko) * | 1998-03-20 | 2001-02-26 | 바르드와야 자이 | 마이크로 기계 장치를 제조하기 위한 장치 및 방법 |
US6391005B1 (en) | 1998-03-30 | 2002-05-21 | Agilent Technologies, Inc. | Apparatus and method for penetration with shaft having a sensor for sensing penetration depth |
US6133615A (en) * | 1998-04-13 | 2000-10-17 | Wisconsin Alumni Research Foundation | Photodiode arrays having minimized cross-talk between diodes |
US6071822A (en) * | 1998-06-08 | 2000-06-06 | Plasma-Therm, Inc. | Etching process for producing substantially undercut free silicon on insulator structures |
US6328482B1 (en) | 1998-06-08 | 2001-12-11 | Benjamin Bin Jian | Multilayer optical fiber coupler |
US6981804B2 (en) | 1998-06-08 | 2006-01-03 | Arrayed Fiberoptics Corporation | Vertically integrated optical devices coupled to optical fibers |
DE19826382C2 (de) * | 1998-06-12 | 2002-02-07 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
KR100639841B1 (ko) | 1998-07-23 | 2006-10-27 | 서페이스 테크놀로지 시스템스 피엘씨 | 이방성 에칭 장치 및 방법 |
US6105427A (en) * | 1998-07-31 | 2000-08-22 | Litton Systems, Inc. | Micro-mechanical semiconductor accelerometer |
DE19841964B4 (de) * | 1998-09-14 | 2004-08-05 | Robert Bosch Gmbh | Verfahren zur Einstellung der Ätzgeschwindigkeit beim anisotropen Plasmaätzen von lateralen Strukturen |
CN1124167C (zh) | 1998-09-17 | 2003-10-15 | 阿德文生物科学公司 | 用于液体化学分析的集成小型化系统 |
DE19843984B4 (de) * | 1998-09-25 | 2013-10-24 | Robert Bosch Gmbh | Verfahren zur Herstellung von Strahlungssensoren |
DE19847455A1 (de) * | 1998-10-15 | 2000-04-27 | Bosch Gmbh Robert | Verfahren zur Bearbeitung von Silizium mittels Ätzprozessen |
US6399516B1 (en) | 1998-10-30 | 2002-06-04 | Massachusetts Institute Of Technology | Plasma etch techniques for fabricating silicon structures from a substrate |
GB9827065D0 (en) * | 1998-12-10 | 1999-02-03 | Orbis Technologies Ltd | A plasma etching control device |
WO2000036631A1 (en) * | 1998-12-11 | 2000-06-22 | Surface Technology Systems Limited | Plasma processing apparatus |
DE19900179C1 (de) * | 1999-01-07 | 2000-02-24 | Bosch Gmbh Robert | Plasmaätzanlage |
DE19904307C2 (de) * | 1999-01-28 | 2001-09-20 | Bosch Gmbh Robert | Verfahren zur Herstellung von dreidimensionalen Strukturen mittels eines Ätzprozesses |
US6417013B1 (en) | 1999-01-29 | 2002-07-09 | Plasma-Therm, Inc. | Morphed processing of semiconductor devices |
JP4221859B2 (ja) * | 1999-02-12 | 2009-02-12 | 株式会社デンソー | 半導体装置の製造方法 |
US6633031B1 (en) | 1999-03-02 | 2003-10-14 | Advion Biosciences, Inc. | Integrated monolithic microfabricated dispensing nozzle and liquid chromatography-electrospray system and method |
US6589437B1 (en) * | 1999-03-05 | 2003-07-08 | Applied Materials, Inc. | Active species control with time-modulated plasma |
DE60015270T2 (de) * | 1999-04-14 | 2006-02-09 | Surface Technology Systems Plc, Newport | Verfahren und gerät zur stabilisierung eines plasmas |
US6383938B2 (en) * | 1999-04-21 | 2002-05-07 | Alcatel | Method of anisotropic etching of substrates |
DE19919469A1 (de) | 1999-04-29 | 2000-11-02 | Bosch Gmbh Robert | Verfahren zum Plasmaätzen von Silizium |
DE19919832A1 (de) * | 1999-04-30 | 2000-11-09 | Bosch Gmbh Robert | Verfahren zum anisotropen Plasmaätzen von Halbleitern |
US7361287B2 (en) | 1999-04-30 | 2008-04-22 | Robert Bosch Gmbh | Method for etching structures in an etching body by means of a plasma |
US6096656A (en) * | 1999-06-24 | 2000-08-01 | Sandia Corporation | Formation of microchannels from low-temperature plasma-deposited silicon oxynitride |
DE19930188A1 (de) * | 1999-06-30 | 2001-01-04 | Infineon Technologies Ag | Verfahren zur Herstellung von Gräben für Speicherkondensatoren von DRAM-Halbleiterspeichern |
DE19962763C2 (de) | 1999-07-01 | 2001-07-26 | Fraunhofer Ges Forschung | Verfahren zum Vereinzeln eines Wafers |
US6617098B1 (en) | 1999-07-13 | 2003-09-09 | Input/Output, Inc. | Merged-mask micro-machining process |
DE19933841A1 (de) * | 1999-07-20 | 2001-02-01 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas |
DE10051831A1 (de) * | 1999-07-20 | 2002-05-02 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas |
DE19933842A1 (de) | 1999-07-20 | 2001-02-01 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Ätzen eines Substrates mittels eines induktiv gekoppelten Plasmas |
GB9917305D0 (en) * | 1999-07-23 | 1999-09-22 | Surface Tech Sys Ltd | Method and apparatus for anisotropic etching |
US6749763B1 (en) * | 1999-08-02 | 2004-06-15 | Matsushita Electric Industrial Co., Ltd. | Plasma processing method |
US6171378B1 (en) | 1999-08-05 | 2001-01-09 | Sandia Corporation | Chemical preconcentrator |
US6458615B1 (en) | 1999-09-30 | 2002-10-01 | Carnegie Mellon University | Method of fabricating micromachined structures and devices formed therefrom |
US6291357B1 (en) | 1999-10-06 | 2001-09-18 | Applied Materials, Inc. | Method and apparatus for etching a substrate with reduced microloading |
US6201208B1 (en) | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
JP4294816B2 (ja) * | 1999-11-11 | 2009-07-15 | スピードファム株式会社 | シリコンウエハの表面処理方法,無臭シリコンウエハ製造方法,シリコンウエハの酸化膜形成方法,酸化シリコンウエハ製造方法,酸素活性種雰囲気形成装置,及び平坦化処理システム |
JP5057318B2 (ja) | 1999-12-30 | 2012-10-24 | アドビオン インコーポレイテッド | 多重電気噴霧装置、システム、および方法 |
AU2001229633A1 (en) * | 2000-01-18 | 2001-07-31 | Advion Biosciences, Inc. | Separation media, multiple electrospray nozzle system and method |
DE60034791T2 (de) | 2000-01-19 | 2008-01-17 | Mitsubishi Denki K.K. | Mikrobauelement und dessen herstellungsverfahren |
US20020071169A1 (en) | 2000-02-01 | 2002-06-13 | Bowers John Edward | Micro-electro-mechanical-system (MEMS) mirror device |
US6753638B2 (en) * | 2000-02-03 | 2004-06-22 | Calient Networks, Inc. | Electrostatic actuator for micromechanical systems |
US6392144B1 (en) | 2000-03-01 | 2002-05-21 | Sandia Corporation | Micromechanical die attachment surcharge |
US6375627B1 (en) | 2000-03-02 | 2002-04-23 | Agilent Technologies, Inc. | Physiological fluid extraction with rapid analysis |
CA2404137C (en) * | 2000-03-20 | 2006-07-11 | The Charles Stark Draper Laboratory, Inc. | Flexural plate wave sensor and array |
US6733681B1 (en) | 2000-03-31 | 2004-05-11 | Seagate Technology Llc | Laterally supported handle wafer for through-wafer reactive-ion etch micromachining |
US6545385B2 (en) | 2000-04-11 | 2003-04-08 | Sandia Corporation | Microelectromechanical apparatus for elevating and tilting a platform |
US6709886B2 (en) | 2000-04-25 | 2004-03-23 | Umachines, Inc. | Method of fabricating micromachined devices |
US6639713B2 (en) | 2000-04-25 | 2003-10-28 | Umachines, Inc. | Silicon micromachined optical device |
US6628041B2 (en) | 2000-05-16 | 2003-09-30 | Calient Networks, Inc. | Micro-electro-mechanical-system (MEMS) mirror device having large angle out of plane motion using shaped combed finger actuators and method for fabricating the same |
DE10024699A1 (de) * | 2000-05-18 | 2001-11-29 | Bosch Gmbh Robert | Plasmaätzanlage |
DE10024883A1 (de) | 2000-05-19 | 2001-11-29 | Bosch Gmbh Robert | Plasmaätzanlage |
US6284666B1 (en) * | 2000-05-31 | 2001-09-04 | International Business Machines Corporation | Method of reducing RIE lag for deep trench silicon etching |
US6432577B1 (en) | 2000-06-29 | 2002-08-13 | Sandia Corporation | Apparatus and method for fabricating a microbattery |
US6521513B1 (en) | 2000-07-05 | 2003-02-18 | Eastman Kodak Company | Silicon wafer configuration and method for forming same |
US6841339B2 (en) * | 2000-08-09 | 2005-01-11 | Sandia National Laboratories | Silicon micro-mold and method for fabrication |
US20020185226A1 (en) * | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
US6593244B1 (en) | 2000-09-11 | 2003-07-15 | Applied Materials Inc. | Process for etching conductors at high etch rates |
US6825967B1 (en) | 2000-09-29 | 2004-11-30 | Calient Networks, Inc. | Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same |
WO2002031600A1 (en) * | 2000-10-10 | 2002-04-18 | Mems Optical, Inc. | Deep grayscale etching of silicon |
US6402301B1 (en) | 2000-10-27 | 2002-06-11 | Lexmark International, Inc | Ink jet printheads and methods therefor |
DE10053780A1 (de) * | 2000-10-30 | 2002-05-16 | Infineon Technologies Ag | Verfahren zur Strukturierung einer Siliziumoxid-Schicht |
US6537437B1 (en) | 2000-11-13 | 2003-03-25 | Sandia Corporation | Surface-micromachined microfluidic devices |
US8641644B2 (en) | 2000-11-21 | 2014-02-04 | Sanofi-Aventis Deutschland Gmbh | Blood testing apparatus having a rotatable cartridge with multiple lancing elements and testing means |
US6419335B1 (en) | 2000-11-24 | 2002-07-16 | Xerox Corporation | Electronic drive systems and methods |
US6350015B1 (en) | 2000-11-24 | 2002-02-26 | Xerox Corporation | Magnetic drive systems and methods for a micromachined fluid ejector |
US6409311B1 (en) | 2000-11-24 | 2002-06-25 | Xerox Corporation | Bi-directional fluid ejection systems and methods |
US6416169B1 (en) | 2000-11-24 | 2002-07-09 | Xerox Corporation | Micromachined fluid ejector systems and methods having improved response characteristics |
US6367915B1 (en) | 2000-11-28 | 2002-04-09 | Xerox Corporation | Micromachined fluid ejector systems and methods |
US6472332B1 (en) | 2000-11-28 | 2002-10-29 | Xerox Corporation | Surface micromachined structure fabrication methods for a fluid ejection device |
BR0115772A (pt) * | 2000-11-29 | 2004-01-13 | Oculex Pharm Inc | Processos para a redução ou para a prevenção da rejeição ao transplante ocular e de implantes intraoculares para uso para o mesmo |
US6767614B1 (en) | 2000-12-19 | 2004-07-27 | Wolfgang M. J. Hofmann | Multiple-level actuators and clamping devices |
DE10064448A1 (de) * | 2000-12-22 | 2002-07-04 | Osram Opto Semiconductors Gmbh | Verfahren zum Aufrauhen eines Halbleiterchips für die Optoelektronik |
DE10065013B4 (de) * | 2000-12-23 | 2009-12-24 | Robert Bosch Gmbh | Verfahren zum Herstellen eines mikromechanischen Bauelements |
US6946314B2 (en) | 2001-01-02 | 2005-09-20 | The Charles Stark Draper Laboratory, Inc. | Method for microfabricating structures using silicon-on-insulator material |
US7381630B2 (en) * | 2001-01-02 | 2008-06-03 | The Charles Stark Draper Laboratory, Inc. | Method for integrating MEMS device and interposer |
GB0101985D0 (en) * | 2001-01-25 | 2001-03-14 | Marconi Comm Ltd | Optical component |
US6645757B1 (en) | 2001-02-08 | 2003-11-11 | Sandia Corporation | Apparatus and method for transforming living cells |
US6406130B1 (en) | 2001-02-20 | 2002-06-18 | Xerox Corporation | Fluid ejection systems and methods with secondary dielectric fluid |
US6712983B2 (en) * | 2001-04-12 | 2004-03-30 | Memsic, Inc. | Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same |
US6592835B1 (en) | 2001-04-16 | 2003-07-15 | Sandia Corporation | Silica substrate or portion formed from oxidation of monocrystalline silicon |
US7167499B2 (en) * | 2001-04-18 | 2007-01-23 | Tcz Pte. Ltd. | Very high energy, high stability gas discharge laser surface treatment system |
US20020158046A1 (en) * | 2001-04-27 | 2002-10-31 | Chi Wu | Formation of an optical component |
US20020158047A1 (en) * | 2001-04-27 | 2002-10-31 | Yiqiong Wang | Formation of an optical component having smooth sidewalls |
JP4149911B2 (ja) | 2001-06-12 | 2008-09-17 | ペリカン テクノロジーズ インコーポレイテッド | 電気式ランセットアクチュエータ |
ES2336081T3 (es) | 2001-06-12 | 2010-04-08 | Pelikan Technologies Inc. | Dispositivo de puncion de auto-optimizacion con medios de adaptacion a variaciones temporales en las propiedades cutaneas. |
US9226699B2 (en) | 2002-04-19 | 2016-01-05 | Sanofi-Aventis Deutschland Gmbh | Body fluid sampling module with a continuous compression tissue interface surface |
US7344507B2 (en) | 2002-04-19 | 2008-03-18 | Pelikan Technologies, Inc. | Method and apparatus for lancet actuation |
US9795747B2 (en) | 2010-06-02 | 2017-10-24 | Sanofi-Aventis Deutschland Gmbh | Methods and apparatus for lancet actuation |
US7749174B2 (en) | 2001-06-12 | 2010-07-06 | Pelikan Technologies, Inc. | Method and apparatus for lancet launching device intergrated onto a blood-sampling cartridge |
US8337419B2 (en) | 2002-04-19 | 2012-12-25 | Sanofi-Aventis Deutschland Gmbh | Tissue penetration device |
US7025774B2 (en) | 2001-06-12 | 2006-04-11 | Pelikan Technologies, Inc. | Tissue penetration device |
US7981056B2 (en) | 2002-04-19 | 2011-07-19 | Pelikan Technologies, Inc. | Methods and apparatus for lancet actuation |
US9427532B2 (en) | 2001-06-12 | 2016-08-30 | Sanofi-Aventis Deutschland Gmbh | Tissue penetration device |
JPWO2002103368A1 (ja) | 2001-06-13 | 2004-10-07 | 三菱電機株式会社 | シリコンデバイス |
US6791258B2 (en) * | 2001-06-21 | 2004-09-14 | 3M Innovative Properties Company | Organic light emitting full color display panel |
US7831151B2 (en) * | 2001-06-29 | 2010-11-09 | John Trezza | Redundant optical device array |
DE10136022B4 (de) * | 2001-07-24 | 2006-01-12 | Robert Bosch Gmbh | Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage |
US6805432B1 (en) * | 2001-07-31 | 2004-10-19 | Hewlett-Packard Development Company, L.P. | Fluid ejecting device with fluid feed slot |
US6555480B2 (en) | 2001-07-31 | 2003-04-29 | Hewlett-Packard Development Company, L.P. | Substrate with fluidic channel and method of manufacturing |
US6544863B1 (en) | 2001-08-21 | 2003-04-08 | Calient Networks, Inc. | Method of fabricating semiconductor wafers having multiple height subsurface layers |
WO2003023479A1 (en) * | 2001-09-07 | 2003-03-20 | Board Of Regents, The University Of Texas System | Multimodal miniature microscope |
DE10144343A1 (de) * | 2001-09-10 | 2003-03-27 | Perkinelmer Optoelectronics | Sensor zum berührugslosen Messen einer Temperatur |
US6817255B2 (en) | 2001-09-12 | 2004-11-16 | The Board Of Trustees Of The University Of Illinois | Apparatus and method for testing of microscale to nanoscale thin films |
DE10309711A1 (de) | 2001-09-14 | 2004-09-16 | Robert Bosch Gmbh | Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma |
US20030066816A1 (en) * | 2001-09-17 | 2003-04-10 | Schultz Gary A. | Uniform patterning for deep reactive ion etching |
US6750076B2 (en) * | 2001-09-17 | 2004-06-15 | Advion Biosciences, Inc. | Fabrication of a microchip-based electrospray device |
US6902701B1 (en) | 2001-10-09 | 2005-06-07 | Sandia Corporation | Apparatus for sensing volatile organic chemicals in fluids |
DE10152254A1 (de) | 2001-10-20 | 2003-04-30 | Bosch Gmbh Robert | Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren |
US7060522B2 (en) * | 2001-11-07 | 2006-06-13 | Xerox Corporation | Membrane structures for micro-devices, micro-devices including same and methods for making same |
DE10156407A1 (de) * | 2001-11-16 | 2003-06-05 | Bosch Gmbh Robert | Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat |
JP4073204B2 (ja) * | 2001-11-19 | 2008-04-09 | 株式会社荏原製作所 | エッチング方法 |
US6599436B1 (en) | 2001-12-06 | 2003-07-29 | Sandia Corporation | Formation of interconnections to microfluidic devices |
US6818564B1 (en) * | 2001-12-20 | 2004-11-16 | Analog Devices, Inc. | Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate |
US7357486B2 (en) * | 2001-12-20 | 2008-04-15 | Hewlett-Packard Development Company, L.P. | Method of laser machining a fluid slot |
US6527835B1 (en) * | 2001-12-21 | 2003-03-04 | Sandia Corporation | Chemical preconcentrator with integral thermal flow sensor |
FR2834382B1 (fr) * | 2002-01-03 | 2005-03-18 | Cit Alcatel | Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect |
GB2388468B (en) * | 2002-02-08 | 2005-05-04 | Microsaic Systems Ltd | Microengineered electrical connectors |
US20030155328A1 (en) * | 2002-02-15 | 2003-08-21 | Huth Mark C. | Laser micromachining and methods and systems of same |
US7254885B2 (en) * | 2002-02-27 | 2007-08-14 | Seagate Technology, Llc | Wafer-level fabrication method for top or side slider bond pads |
DE10209763A1 (de) * | 2002-03-05 | 2003-10-02 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers |
DE10214620B4 (de) | 2002-04-03 | 2010-02-04 | Robert Bosch Gmbh | Verfahren zur plasmalosen Gasphasenätzung eines Siliziumwafers und Vorrichtung zu deren Durchführung |
US6979652B2 (en) * | 2002-04-08 | 2005-12-27 | Applied Materials, Inc. | Etching multi-shaped openings in silicon |
GB2398635A (en) | 2003-02-21 | 2004-08-25 | Sophion Bioscience As | A substrate providing a cell gigaseal for a patch clamp |
US9314194B2 (en) | 2002-04-19 | 2016-04-19 | Sanofi-Aventis Deutschland Gmbh | Tissue penetration device |
US8579831B2 (en) | 2002-04-19 | 2013-11-12 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for penetrating tissue |
US7547287B2 (en) | 2002-04-19 | 2009-06-16 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US7674232B2 (en) | 2002-04-19 | 2010-03-09 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US7232451B2 (en) | 2002-04-19 | 2007-06-19 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US8372016B2 (en) | 2002-04-19 | 2013-02-12 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for body fluid sampling and analyte sensing |
US7976476B2 (en) | 2002-04-19 | 2011-07-12 | Pelikan Technologies, Inc. | Device and method for variable speed lancet |
US7226461B2 (en) | 2002-04-19 | 2007-06-05 | Pelikan Technologies, Inc. | Method and apparatus for a multi-use body fluid sampling device with sterility barrier release |
US9248267B2 (en) | 2002-04-19 | 2016-02-02 | Sanofi-Aventis Deustchland Gmbh | Tissue penetration device |
US7331931B2 (en) | 2002-04-19 | 2008-02-19 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US9795334B2 (en) | 2002-04-19 | 2017-10-24 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for penetrating tissue |
US7297122B2 (en) | 2002-04-19 | 2007-11-20 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US7491178B2 (en) | 2002-04-19 | 2009-02-17 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US7229458B2 (en) | 2002-04-19 | 2007-06-12 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US7909778B2 (en) | 2002-04-19 | 2011-03-22 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US8267870B2 (en) | 2002-04-19 | 2012-09-18 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for body fluid sampling with hybrid actuation |
US7892183B2 (en) | 2002-04-19 | 2011-02-22 | Pelikan Technologies, Inc. | Method and apparatus for body fluid sampling and analyte sensing |
US8221334B2 (en) | 2002-04-19 | 2012-07-17 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for penetrating tissue |
US8360992B2 (en) | 2002-04-19 | 2013-01-29 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for penetrating tissue |
US7901362B2 (en) | 2002-04-19 | 2011-03-08 | Pelikan Technologies, Inc. | Method and apparatus for penetrating tissue |
US8702624B2 (en) | 2006-09-29 | 2014-04-22 | Sanofi-Aventis Deutschland Gmbh | Analyte measurement device with a single shot actuator |
US8784335B2 (en) | 2002-04-19 | 2014-07-22 | Sanofi-Aventis Deutschland Gmbh | Body fluid sampling device with a capacitive sensor |
US6554403B1 (en) | 2002-04-30 | 2003-04-29 | Hewlett-Packard Development Company, L.P. | Substrate for fluid ejection device |
US6981759B2 (en) * | 2002-04-30 | 2006-01-03 | Hewlett-Packard Development Company, Lp. | Substrate and method forming substrate for fluid ejection device |
US6849554B2 (en) | 2002-05-01 | 2005-02-01 | Applied Materials, Inc. | Method of etching a deep trench having a tapered profile in silicon |
US6846746B2 (en) * | 2002-05-01 | 2005-01-25 | Applied Materials, Inc. | Method of smoothing a trench sidewall after a deep trench silicon etch process |
US6667215B2 (en) * | 2002-05-02 | 2003-12-23 | 3M Innovative Properties | Method of making transistors |
US7728339B1 (en) | 2002-05-03 | 2010-06-01 | Calient Networks, Inc. | Boundary isolation for microelectromechanical devices |
US6759340B2 (en) | 2002-05-09 | 2004-07-06 | Padmapani C. Nallan | Method of etching a trench in a silicon-on-insulator (SOI) structure |
US6667823B2 (en) | 2002-05-22 | 2003-12-23 | Lucent Technologies Inc. | Monolithic in-plane shutter switch |
JP2003344445A (ja) * | 2002-05-24 | 2003-12-03 | Mitsubishi Electric Corp | 慣性力センサ |
US20060097388A1 (en) | 2002-07-02 | 2006-05-11 | Klaus Breitschwerdt | Electrical system, especially a microelectronic or microelectromechanical high frequency system |
US7052117B2 (en) * | 2002-07-03 | 2006-05-30 | Dimatix, Inc. | Printhead having a thin pre-fired piezoelectric layer |
FR2842387B1 (fr) * | 2002-07-11 | 2005-07-08 | Cit Alcatel | Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre |
US6905626B2 (en) * | 2002-07-24 | 2005-06-14 | Unaxis Usa Inc. | Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma |
DE10234589A1 (de) * | 2002-07-30 | 2004-02-12 | Robert Bosch Gmbh | Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung |
DE10235371A1 (de) | 2002-08-02 | 2004-02-12 | Robert Bosch Gmbh | Verfahren zur Herstellung einer mikromechanischen Vorrichtung, insbesondere einer mikromechanischen Schwingspiegelvorrichtung |
US7074723B2 (en) | 2002-08-02 | 2006-07-11 | Applied Materials, Inc. | Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system |
AU2003257001A1 (en) * | 2002-08-05 | 2004-02-23 | California Institute Of Technology | A method of sample preparation for atom probes and source of specimens |
DE10236150A1 (de) * | 2002-08-05 | 2004-02-26 | Universität Kassel | Verfahren zur Herstellung wenigstens einer kleinen Öffnung in einer Schicht auf einem Substrat und damit hergestellte Bauelemente |
DE10237249B4 (de) * | 2002-08-14 | 2014-12-18 | Excelitas Technologies Singapore Pte Ltd | Verfahren zum selektiven Abtragen von Material aus der Oberfläche eines Substrats |
US6924235B2 (en) * | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
DE10237787A1 (de) | 2002-08-17 | 2004-03-04 | Robert Bosch Gmbh | Schichtsystem mit einer Siliziumschicht und einer Passivierschicht, Verfahren zur Erzeugung einer Passivierschicht auf einer Siliziumschicht und deren Verwendung |
US6896821B2 (en) * | 2002-08-23 | 2005-05-24 | Dalsa Semiconductor Inc. | Fabrication of MEMS devices with spin-on glass |
JP2004095849A (ja) * | 2002-08-30 | 2004-03-25 | Fujikura Ltd | 貫通電極付き半導体基板の製造方法、貫通電極付き半導体デバイスの製造方法 |
US7081370B2 (en) * | 2002-09-04 | 2006-07-25 | Mitsubishi Denki Kabushiki Kaisha | Silicon substrate apparatus and method of manufacturing the silicon substrate apparatus |
DE10241450A1 (de) | 2002-09-06 | 2004-03-18 | Robert Bosch Gmbh | Verfahren zur Herstellung eines Bauteils mit einem Sensorelement, insbesondere eines Verformungssensors |
US6921490B1 (en) | 2002-09-06 | 2005-07-26 | Kotura, Inc. | Optical component having waveguides extending from a common region |
US6900133B2 (en) * | 2002-09-18 | 2005-05-31 | Applied Materials, Inc | Method of etching variable depth features in a crystalline substrate |
US6850354B2 (en) | 2002-09-30 | 2005-02-01 | Lucent Technologies Inc. | Monolithic MEMS device for optical switches |
US6924581B2 (en) * | 2002-09-30 | 2005-08-02 | Lucent Technologies Inc. | Split spring providing multiple electrical leads for MEMS devices |
US6886924B2 (en) * | 2002-09-30 | 2005-05-03 | Spectra, Inc. | Droplet ejection device |
US6859300B2 (en) * | 2002-09-30 | 2005-02-22 | Lucent Technologies Inc. | Monolithic two-axis MEMS device for optical switches |
US6902867B2 (en) * | 2002-10-02 | 2005-06-07 | Lexmark International, Inc. | Ink jet printheads and methods therefor |
US6833325B2 (en) * | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7169695B2 (en) * | 2002-10-11 | 2007-01-30 | Lam Research Corporation | Method for forming a dual damascene structure |
US7977390B2 (en) | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
DE10247913A1 (de) | 2002-10-14 | 2004-04-22 | Robert Bosch Gmbh | Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat |
GB2396053B (en) * | 2002-10-23 | 2006-03-29 | Bosch Gmbh Robert | Device and process for anisotropic plasma etching of a substrate,in particular a silicon body |
US20040097077A1 (en) * | 2002-11-15 | 2004-05-20 | Applied Materials, Inc. | Method and apparatus for etching a deep trench |
US6869818B2 (en) * | 2002-11-18 | 2005-03-22 | Redwood Microsystems, Inc. | Method for producing and testing a corrosion-resistant channel in a silicon device |
DE10259176A1 (de) * | 2002-12-18 | 2004-02-05 | Robert Bosch Gmbh | Strukturkörper mit einem porösen Bereich und Verfahren zu dessen Herstellung |
US7531842B2 (en) * | 2002-12-20 | 2009-05-12 | Analog Devices, Inc. | Method for etching a tapered bore in a silicon substrate, and a semiconductor wafer comprising the substrate |
US8574895B2 (en) | 2002-12-30 | 2013-11-05 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus using optical techniques to measure analyte levels |
US20070131652A1 (en) * | 2003-01-12 | 2007-06-14 | Mitsuhiro Okune | Plasma etching method |
DE10301873B4 (de) * | 2003-01-17 | 2006-04-20 | Fachhochschule Jena | Kapillare Verdampferstruktur und Kühlsystem |
US7042060B2 (en) * | 2003-01-31 | 2006-05-09 | Intevac, Inc. | Backside thinning of image array devices |
US7005637B2 (en) * | 2003-01-31 | 2006-02-28 | Intevac, Inc. | Backside thinning of image array devices |
US6982175B2 (en) * | 2003-02-14 | 2006-01-03 | Unaxis Usa Inc. | End point detection in time division multiplexed etch processes |
WO2004077073A1 (en) * | 2003-02-24 | 2004-09-10 | University Of Florida | Integrated monolithic tri-axial micromachined accelerometer |
US7026184B2 (en) * | 2003-02-26 | 2006-04-11 | Carnegie Mellon University | Method of fabricating microstructures and devices made therefrom |
US8323955B1 (en) | 2003-03-05 | 2012-12-04 | Sandia Corporation | Micromachined patch-clamp apparatus |
US6993219B2 (en) * | 2003-03-13 | 2006-01-31 | Lucent Technologies Inc. | Waveguide/MEMS switch |
US6876484B2 (en) * | 2003-03-24 | 2005-04-05 | Lucent Technologies Inc. | Deformable segmented MEMS mirror |
JP4065213B2 (ja) | 2003-03-25 | 2008-03-19 | 住友精密工業株式会社 | シリコン基板のエッチング方法及びエッチング装置 |
JP3972846B2 (ja) * | 2003-03-25 | 2007-09-05 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
US7081650B2 (en) * | 2003-03-31 | 2006-07-25 | Intel Corporation | Interposer with signal and power supply through vias |
US7115520B2 (en) * | 2003-04-07 | 2006-10-03 | Unaxis Usa, Inc. | Method and apparatus for process control in time division multiplexed (TDM) etch process |
US7381650B2 (en) * | 2003-04-07 | 2008-06-03 | Unaxis Usa Inc. | Method and apparatus for process control in time division multiplexed (TDM) etch processes |
US7294580B2 (en) * | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US6916746B1 (en) * | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
DE10318568A1 (de) * | 2003-04-15 | 2004-11-25 | Technische Universität Dresden | Siliziumsubstrat mit positiven Ätzprofilen mit definiertem Böschungswinkel und Verfahren zur Herstellung |
US7127301B1 (en) | 2003-04-28 | 2006-10-24 | Sandia Corporation | Flexible retinal electrode array |
DE10320357B4 (de) * | 2003-05-07 | 2010-05-12 | Perkinelmer Optoelectronics Gmbh & Co.Kg | Strahlungssensor, Wafer, Sensorarray und Sensormodul |
US20040224524A1 (en) * | 2003-05-09 | 2004-11-11 | Applied Materials, Inc. | Maintaining the dimensions of features being etched on a lithographic mask |
CN100401491C (zh) * | 2003-05-09 | 2008-07-09 | 优利讯美国有限公司 | 时分复用处理中的包络跟随器终点检测 |
US20060006139A1 (en) * | 2003-05-09 | 2006-01-12 | David Johnson | Selection of wavelengths for end point in a time division multiplexed process |
US6969822B2 (en) * | 2003-05-13 | 2005-11-29 | Hewlett-Packard Development Company, L.P. | Laser micromachining systems |
US7754999B2 (en) | 2003-05-13 | 2010-07-13 | Hewlett-Packard Development Company, L.P. | Laser micromachining and methods of same |
ES2347248T3 (es) | 2003-05-30 | 2010-10-27 | Pelikan Technologies Inc. | Procedimiento y aparato para la inyeccion de fluido. |
US6998758B2 (en) * | 2003-06-05 | 2006-02-14 | Lucent Technologies Inc. | Deformable MEMS mirror with membrane actuated by application of torque |
ES2490740T3 (es) | 2003-06-06 | 2014-09-04 | Sanofi-Aventis Deutschland Gmbh | Aparato para toma de muestras de fluido sanguíneo y detección de analitos |
US20040245216A1 (en) * | 2003-06-06 | 2004-12-09 | Chien-Shing Pai | Devices and method of their manufacture |
US6781744B1 (en) | 2003-06-11 | 2004-08-24 | Lucent Technologies Inc. | Amplification of MEMS motion |
WO2006001797A1 (en) | 2004-06-14 | 2006-01-05 | Pelikan Technologies, Inc. | Low pain penetrating |
US6886916B1 (en) | 2003-06-18 | 2005-05-03 | Sandia Corporation | Piston-driven fluid-ejection apparatus |
US7192531B1 (en) | 2003-06-24 | 2007-03-20 | Lam Research Corporation | In-situ plug fill |
WO2005006436A1 (de) | 2003-07-08 | 2005-01-20 | Infineon Technologies Ag | Integrierte kühl-schaltungsanordnung, betriebsverfahren und herstellungsverfahren |
US6910758B2 (en) * | 2003-07-15 | 2005-06-28 | Hewlett-Packard Development Company, L.P. | Substrate and method of forming substrate for fluid ejection device |
DE10333995B4 (de) | 2003-07-25 | 2018-10-25 | Robert Bosch Gmbh | Verfahren zum Ätzen eines Halbleitermaterials |
DE10336328B4 (de) * | 2003-08-07 | 2013-04-25 | Robert Bosch Gmbh | Vorrichtung zur Bearbeitung eines Siliziumssubstrats |
US7060624B2 (en) * | 2003-08-13 | 2006-06-13 | International Business Machines Corporation | Deep filled vias |
US7051656B1 (en) | 2003-08-14 | 2006-05-30 | Sandia Corporation | Microelectromechanical safing and arming apparatus |
US7148436B1 (en) | 2003-08-14 | 2006-12-12 | Sandia Corporation | Microelectromechanical acceleration-sensing apparatus |
US7250371B2 (en) * | 2003-08-26 | 2007-07-31 | Lam Research Corporation | Reduction of feature critical dimensions |
US7056830B2 (en) * | 2003-09-03 | 2006-06-06 | Applied Materials, Inc. | Method for plasma etching a dielectric layer |
US7612443B1 (en) | 2003-09-04 | 2009-11-03 | University Of Notre Dame Du Lac | Inter-chip communication |
US7081623B2 (en) * | 2003-09-05 | 2006-07-25 | Lucent Technologies Inc. | Wafer-based ion traps |
US6872947B1 (en) * | 2003-09-22 | 2005-03-29 | Lucent Technologies Inc. | MEMS-based spectrophotometric system |
EP1671096A4 (en) | 2003-09-29 | 2009-09-16 | Pelikan Technologies Inc | METHOD AND APPARATUS FOR PROVIDING IMPROVED SAMPLE CAPTURING DEVICE |
US7003193B2 (en) | 2003-10-10 | 2006-02-21 | Japan Aviation Electronics Industry Limited | Miniature movable device |
EP1680279B1 (en) | 2003-10-10 | 2014-04-23 | Dimatix, Inc. | Print head with thin membrane |
US9351680B2 (en) | 2003-10-14 | 2016-05-31 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for a variable user interface |
US20050112891A1 (en) * | 2003-10-21 | 2005-05-26 | David Johnson | Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation |
US6980339B2 (en) * | 2003-12-19 | 2005-12-27 | Lucent Technologies Inc. | Deformable MEMS mirror |
US7822454B1 (en) | 2005-01-03 | 2010-10-26 | Pelikan Technologies, Inc. | Fluid sampling device with improved analyte detecting member configuration |
US8668656B2 (en) | 2003-12-31 | 2014-03-11 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for improving fluidic flow and sample capture |
GB2409927B (en) * | 2004-01-09 | 2006-09-27 | Microsaic Systems Ltd | Micro-engineered electron multipliers |
US6995895B2 (en) * | 2004-02-05 | 2006-02-07 | Lucent Technologies Inc. | MEMS actuator for piston and tilt motion |
US6967326B2 (en) * | 2004-02-27 | 2005-11-22 | Lucent Technologies Inc. | Mass spectrometers on wafer-substrates |
US7099063B2 (en) * | 2004-03-09 | 2006-08-29 | Lucent Technologies Inc. | MEMS device for an adaptive optics mirror |
US7281778B2 (en) | 2004-03-15 | 2007-10-16 | Fujifilm Dimatix, Inc. | High frequency droplet ejection device and method |
US8491076B2 (en) | 2004-03-15 | 2013-07-23 | Fujifilm Dimatix, Inc. | Fluid droplet ejection devices and methods |
US20050211668A1 (en) * | 2004-03-26 | 2005-09-29 | Lam Research Corporation | Methods of processing a substrate with minimal scalloping |
US7142075B1 (en) | 2004-04-01 | 2006-11-28 | Sandia Corporation | Microelectromechanical power generator and vibration sensor |
US7207102B1 (en) | 2004-04-01 | 2007-04-24 | Sandia Corporation | Method for forming permanent magnets with different polarities for use in microelectromechanical devices |
US8828203B2 (en) | 2004-05-20 | 2014-09-09 | Sanofi-Aventis Deutschland Gmbh | Printable hydrogels for biosensors |
US7235489B2 (en) * | 2004-05-21 | 2007-06-26 | Agere Systems Inc. | Device and method to eliminate shorting induced by via to metal misalignment |
US9775553B2 (en) | 2004-06-03 | 2017-10-03 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for a fluid sampling device |
US9820684B2 (en) | 2004-06-03 | 2017-11-21 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for a fluid sampling device |
US7799699B2 (en) | 2004-06-04 | 2010-09-21 | The Board Of Trustees Of The University Of Illinois | Printable semiconductor structures and related methods of making and assembling |
JP2008502151A (ja) | 2004-06-04 | 2008-01-24 | ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ | 印刷可能半導体素子を製造して組み立てるための方法及びデバイス |
US7521292B2 (en) | 2004-06-04 | 2009-04-21 | The Board Of Trustees Of The University Of Illinois | Stretchable form of single crystal silicon for high performance electronics on rubber substrates |
JP4182921B2 (ja) | 2004-06-08 | 2008-11-19 | セイコーエプソン株式会社 | ノズルプレートの製造方法 |
EP1761947B1 (en) * | 2004-06-29 | 2015-02-25 | Unaxis USA Inc. | Method for reducing aspect ratio dependent etching in time division multiplexed etch processes |
WO2006003962A1 (ja) * | 2004-07-02 | 2006-01-12 | Ulvac, Inc. | エッチング方法及び装置 |
US7038150B1 (en) | 2004-07-06 | 2006-05-02 | Sandia Corporation | Micro environmental sensing device |
US7004198B1 (en) | 2004-07-20 | 2006-02-28 | Sandia Corporation | Micro-fluidic interconnect |
DE102004036803A1 (de) | 2004-07-29 | 2006-03-23 | Robert Bosch Gmbh | Verfahren zum Ätzen einer Schicht auf einem Substrat |
US7347532B2 (en) | 2004-08-05 | 2008-03-25 | Fujifilm Dimatix, Inc. | Print head nozzle formation |
US7218193B2 (en) * | 2004-08-16 | 2007-05-15 | Lucent Technologies Inc. | MEMS-based inertial switch |
JP4578893B2 (ja) * | 2004-08-20 | 2010-11-10 | 住友精密工業株式会社 | シリコン材のプラズマエッチング方法及びプラズマエッチング装置 |
DE102004042729B4 (de) * | 2004-09-03 | 2018-02-01 | Robert Bosch Gmbh | Bio-Chip mit einem Elektrodenarray auf einem Substrat |
DE102004043356A1 (de) * | 2004-09-08 | 2006-03-09 | Robert Bosch Gmbh | Sensorelement mit getrenchter Kaverne |
DE102004043357B4 (de) * | 2004-09-08 | 2015-10-22 | Robert Bosch Gmbh | Verfahren zur Herstellung eines mikromechanischen Sensorelements |
US7289009B1 (en) | 2004-09-15 | 2007-10-30 | Sandia Corporation | Eddy-current-damped microelectromechanical switch |
US7193492B2 (en) * | 2004-09-29 | 2007-03-20 | Lucent Technologies Inc. | Monolithic MEMS device having a balanced cantilever plate |
US7335576B2 (en) | 2004-10-08 | 2008-02-26 | Irvine Sensors Corp. | Method for precision integrated circuit die singulation using differential etch rates |
US7151883B2 (en) * | 2004-10-08 | 2006-12-19 | Hewlett-Packard Development Company, L.P. | Photonic crystal device and methods |
DE102004050390A1 (de) * | 2004-10-15 | 2006-05-04 | Infineon Technologies Ag | Verfahren zum Vereinzeln einer Vielzahl von Chips eines Wafers und Chip-Vereinzelungs-Anordnung |
US20060186874A1 (en) * | 2004-12-02 | 2006-08-24 | The Board Of Trustees Of The University Of Illinois | System and method for mechanical testing of freestanding microscale to nanoscale thin films |
US20060134917A1 (en) * | 2004-12-16 | 2006-06-22 | Lam Research Corporation | Reduction of etch mask feature critical dimensions |
US7459100B2 (en) * | 2004-12-22 | 2008-12-02 | Lam Research Corporation | Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate |
US20060178519A1 (en) * | 2004-12-23 | 2006-08-10 | Venkataraman Sundaram | Process for preparing tegaserod |
CN101094770B (zh) | 2004-12-30 | 2010-04-14 | 富士胶卷迪马蒂克斯股份有限公司 | 喷墨打印 |
US8652831B2 (en) | 2004-12-30 | 2014-02-18 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for analyte measurement test time |
FR2880469B1 (fr) * | 2005-01-03 | 2007-04-27 | Cit Alcatel | Dispositif de fabrication d'un masque par gravure par plasma d'un substrat semiconducteur |
US7324323B2 (en) * | 2005-01-13 | 2008-01-29 | Lucent Technologies Inc. | Photo-sensitive MEMS structure |
US20060158484A1 (en) * | 2005-01-14 | 2006-07-20 | Greywall Dennis S | Thermal actuator for a MEMS device |
US7540469B1 (en) | 2005-01-25 | 2009-06-02 | Sandia Corporation | Microelectromechanical flow control apparatus |
DE102005004365A1 (de) * | 2005-01-31 | 2006-08-10 | Infineon Technologies Ag | Verfahren zum Herstellen von vertikalen Leitstrukturen in einer integrierten Schaltungsanordnung und Schaltungsanordnung |
US7180078B2 (en) * | 2005-02-01 | 2007-02-20 | Lucent Technologies Inc. | Integrated planar ion traps |
DE102005004878B4 (de) | 2005-02-03 | 2015-01-08 | Robert Bosch Gmbh | Mikromechanischer kapazitiver Drucksensor und entsprechendes Herstellungsverfahren |
US7538032B2 (en) * | 2005-06-23 | 2009-05-26 | Teledyne Scientific & Imaging, Llc | Low temperature method for fabricating high-aspect ratio vias and devices fabricated by said method |
US7241683B2 (en) * | 2005-03-08 | 2007-07-10 | Lam Research Corporation | Stabilized photoresist structure for etching process |
US7491647B2 (en) * | 2005-03-08 | 2009-02-17 | Lam Research Corporation | Etch with striation control |
JP2006278827A (ja) * | 2005-03-30 | 2006-10-12 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
US7355317B2 (en) | 2005-03-31 | 2008-04-08 | Lucent Technologies Inc. | Rocker-arm actuator for a segmented mirror |
US20060264054A1 (en) * | 2005-04-06 | 2006-11-23 | Gutsche Martin U | Method for etching a trench in a semiconductor substrate |
JP2006318702A (ja) * | 2005-05-11 | 2006-11-24 | Mitsubishi Electric Corp | 電子放出源の製造方法 |
US8546264B2 (en) * | 2005-06-02 | 2013-10-01 | The Regents Of The University Of California | Etching radical controlled gas chopped deep reactive ion etching |
US7368305B2 (en) * | 2005-06-10 | 2008-05-06 | Wisconsin Alumni Research Foundation | High aspect ratio micromechanical probe tips and methods of fabrication |
CN100435272C (zh) * | 2005-07-01 | 2008-11-19 | 北京大学 | 在感应耦合等离子体刻蚀中保护刻蚀结构的方法 |
JP4512533B2 (ja) | 2005-07-27 | 2010-07-28 | 住友精密工業株式会社 | エッチング方法及びエッチング装置 |
KR101238086B1 (ko) * | 2005-08-04 | 2013-02-27 | 아비자 테크놀로지 리미티드 | 기판 처리 방법 |
GB0516054D0 (en) * | 2005-08-04 | 2005-09-14 | Trikon Technologies Ltd | A method of processing substrates |
US7273815B2 (en) * | 2005-08-18 | 2007-09-25 | Lam Research Corporation | Etch features with reduced line edge roughness |
EP1763075A1 (en) * | 2005-09-13 | 2007-03-14 | Irvine Sensors Corporation | Method for precision integrated circuit DIE singulation using differential etch rates |
US7403322B2 (en) * | 2005-09-13 | 2008-07-22 | Lucent Technologies Inc. | MEMS-based alignment of optical components |
US7439093B2 (en) * | 2005-09-16 | 2008-10-21 | Dalsa Semiconductor Inc. | Method of making a MEMS device containing a cavity with isotropic etch followed by anisotropic etch |
DE102005047081B4 (de) * | 2005-09-30 | 2019-01-31 | Robert Bosch Gmbh | Verfahren zum plasmalosen Ätzen von Silizium mit dem Ätzgas ClF3 oder XeF2 |
US8084116B2 (en) * | 2005-09-30 | 2011-12-27 | Alcatel Lucent | Surfaces physically transformable by environmental changes |
US20070079866A1 (en) * | 2005-10-07 | 2007-04-12 | Applied Materials, Inc. | System and method for making an improved thin film solar cell interconnect |
US7253616B2 (en) * | 2005-10-13 | 2007-08-07 | Lucent Technologies Inc. | Microelectromechanical magnetometer |
EP1962679B1 (en) | 2005-12-14 | 2012-04-11 | Silex Microsystems AB | Methods for making micro needles and applications thereof |
DE102005059905A1 (de) | 2005-12-15 | 2007-06-28 | Robert Bosch Gmbh | Mikromechanisches Bauelement und Herstellungsverfahren |
DE102006001321B3 (de) * | 2006-01-09 | 2007-07-26 | Protron Mikrotechnik Gmbh | Mikromechanischer Hochfrequenz-Schalter für koplanare Wellenleiter |
DE102006002106B4 (de) * | 2006-01-17 | 2016-03-03 | Robert Bosch Gmbh | Mikromechanischer Sensor mit perforationsoptimierter Membran sowie ein geeignetes Hestellungsverfahren |
WO2007087900A1 (en) * | 2006-02-02 | 2007-08-09 | The European Community, Represented By The European Commission | Process for controlling surface wettability |
US7910489B2 (en) * | 2006-02-17 | 2011-03-22 | Lam Research Corporation | Infinitely selective photoresist mask etch |
US7998431B2 (en) * | 2006-04-10 | 2011-08-16 | Alcatel Lucent | Environmentally sensitive nanostructured surfaces |
JP2009534174A (ja) * | 2006-04-21 | 2009-09-24 | バイオスケール, インコーポレイテッド | 微細加工デバイスおよびその製造方法 |
DE102006028781A1 (de) | 2006-06-23 | 2007-12-27 | Robert Bosch Gmbh | Verfahren zur Herstellung von porösen Mikronadeln und ihre Verwendung |
US7989915B2 (en) * | 2006-07-11 | 2011-08-02 | Teledyne Licensing, Llc | Vertical electrical device |
US7829465B2 (en) * | 2006-08-09 | 2010-11-09 | Shouliang Lai | Method for plasma etching of positively sloped structures |
US8187483B2 (en) * | 2006-08-11 | 2012-05-29 | Jason Plumhoff | Method to minimize CD etch bias |
US7764004B2 (en) | 2006-08-15 | 2010-07-27 | Alcatel-Lucent Usa Inc. | Large area induced assembly of nanostructures |
SG140538A1 (en) * | 2006-08-22 | 2008-03-28 | Lam Res Corp | Method for plasma etching performance enhancement |
US7485870B2 (en) * | 2006-09-12 | 2009-02-03 | Alcatel-Lucent Usa Inc. | Pneumatic infrared detector |
US7884530B2 (en) * | 2006-09-14 | 2011-02-08 | Alcatel-Lucent Usa Inc. | Reversible actuation in arrays of nanostructures |
TWI438827B (zh) | 2006-09-20 | 2014-05-21 | Univ Illinois | 用於製造可印刷半導體結構、裝置及裝置元件的脫離對策 |
US7796267B2 (en) | 2006-09-28 | 2010-09-14 | Si-Ware Systems | System, method and apparatus for a micromachined interferometer using optical splitting |
US7309646B1 (en) | 2006-10-10 | 2007-12-18 | Lam Research Corporation | De-fluoridation process |
DE102006052630A1 (de) * | 2006-10-19 | 2008-04-24 | Robert Bosch Gmbh | Mikromechanisches Bauelement mit monolithisch integrierter Schaltung und Verfahren zur Herstellung eines Bauelements |
KR20090091307A (ko) | 2006-11-22 | 2009-08-27 | 스미토모 세이미츠 고교 가부시키가이샤 | 높은 아스펙트비의 개구를 갖는 실리콘 구조체, 이의 제조방법, 이의 제조 장치, 및 이의 제조 프로그램, 및 이의 실리콘 구조체용 에칭 마스크의 제조방법 |
US7999440B2 (en) * | 2006-11-27 | 2011-08-16 | Bioscale, Inc. | Micro-fabricated devices having a suspended membrane or plate structure |
US20080121042A1 (en) * | 2006-11-27 | 2008-05-29 | Bioscale, Inc. | Fluid paths in etchable materials |
US7861316B2 (en) * | 2006-12-08 | 2010-12-28 | Wisconsin Alumni Research Foundation | Microscope probe having an ultra-tall tip |
EP1932804B1 (fr) | 2006-12-11 | 2017-03-22 | Mimotec S.A. | Pièces mixtes silicium/métal et méthodes de fabrication s'y référant |
US20080146034A1 (en) * | 2006-12-13 | 2008-06-19 | Applied Materials, Inc. | Method for recess etching |
US7902073B2 (en) * | 2006-12-14 | 2011-03-08 | Lam Research Corporation | Glue layer for hydrofluorocarbon etch |
US7988247B2 (en) | 2007-01-11 | 2011-08-02 | Fujifilm Dimatix, Inc. | Ejection of drops having variable drop size from an ink jet printer |
KR101610885B1 (ko) | 2007-01-17 | 2016-04-08 | 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 | 프린팅기반 어셈블리에 의해 제조되는 광학 시스템 |
DE102007002832A1 (de) | 2007-01-19 | 2008-07-24 | Robert Bosch Gmbh | Verfahren zur Herstellung einer Vorrichtung umfassend eine Anordnung von Mikronadeln auf einem Träger und nach diesem Verfahren herstellbare Vorrichtung |
US7605054B2 (en) * | 2007-04-18 | 2009-10-20 | S.O.I.Tec Silicon On Insulator Technologies | Method of forming a device wafer with recyclable support |
US7980828B1 (en) | 2007-04-25 | 2011-07-19 | Sandia Corporation | Microelectromechanical pump utilizing porous silicon |
US7829462B2 (en) | 2007-05-03 | 2010-11-09 | Teledyne Licensing, Llc | Through-wafer vias |
JP2008286535A (ja) | 2007-05-15 | 2008-11-27 | Mitsutoyo Corp | 真円度測定装置、真円度測定方法、及び真円度測定プログラム |
US20080284835A1 (en) * | 2007-05-15 | 2008-11-20 | Panchawagh Hrishikesh V | Integral, micromachined gutter for inkjet printhead |
US7758155B2 (en) | 2007-05-15 | 2010-07-20 | Eastman Kodak Company | Monolithic printhead with multiple rows of inkjet orifices |
WO2008153674A1 (en) | 2007-06-09 | 2008-12-18 | Boris Kobrin | Method and apparatus for anisotropic etching |
US7580175B2 (en) * | 2007-06-21 | 2009-08-25 | Alcatel-Lucent Usa Inc. | Detector of infrared radiation having a bi-material transducer |
US7851759B2 (en) * | 2007-06-21 | 2010-12-14 | Alcatel-Lucent Usa Inc. | Infrared imaging apparatus |
DE102007035633B4 (de) | 2007-07-28 | 2012-10-04 | Protron Mikrotechnik Gmbh | Verfahren zur Herstellung mikromechanischer Strukturen sowie mikromechanische Struktur |
US20090033727A1 (en) * | 2007-07-31 | 2009-02-05 | Anagnostopoulos Constantine N | Lateral flow device printhead with internal gutter |
US20090043646A1 (en) * | 2007-08-06 | 2009-02-12 | International Business Machines Corporation | System and Method for the Automated Capture and Clustering of User Activities |
EP2178109A4 (en) | 2007-08-08 | 2012-12-19 | Ulvac Inc | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS |
JP5286710B2 (ja) * | 2007-08-10 | 2013-09-11 | セイコーエプソン株式会社 | 微細構造の形成方法及び流体噴射ヘッドの製造方法 |
US7782066B2 (en) * | 2007-08-30 | 2010-08-24 | Qimonda Ag | Sensor, method for sensing, measuring device, method for measuring, filter component, method for adapting a transfer behavior of a filter component, actuator system and method for controlling an actuator using a sensor |
US7752916B2 (en) | 2007-08-31 | 2010-07-13 | The Board Of Trustees Of The University Of Illinois | Apparatus and method for material testing of microscale and nanoscale samples |
DE102007047681B4 (de) | 2007-10-05 | 2014-07-10 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Monolithischer dielektrischer Spiegel |
JP2009109347A (ja) * | 2007-10-30 | 2009-05-21 | Yamatake Corp | 圧力センサ及びその製造方法 |
CN101960276B (zh) * | 2007-10-30 | 2013-07-03 | 阿自倍尔株式会社 | 压力传感器及其制造方法 |
JP2009111164A (ja) * | 2007-10-30 | 2009-05-21 | Yamatake Corp | 圧力センサ及びその製造方法 |
US9287430B1 (en) | 2007-11-01 | 2016-03-15 | Sandia Corporation | Photovoltaic solar concentrator |
US8329503B1 (en) | 2007-11-01 | 2012-12-11 | Sandia Corporation | Photovoltaic solar concentrator |
US8614395B1 (en) | 2007-11-01 | 2013-12-24 | Sandia Corporation | Solar cell with back side contacts |
US9029681B1 (en) | 2010-10-28 | 2015-05-12 | Sandia Corporation | Microsystem enabled photovoltaic modules and systems |
DE102007052661A1 (de) | 2007-11-05 | 2009-05-07 | Robert Bosch Gmbh | Verfahren zur Herstellung von mikromechanischen Strukturen mit reliefartigem Seitenwandverlauf oder einstellbarem Neigungswinkel |
JP2009141307A (ja) * | 2007-11-15 | 2009-06-25 | Fuji Electric Device Technology Co Ltd | 半導体装置の製造方法 |
US9829485B2 (en) * | 2007-11-16 | 2017-11-28 | Nxp B.V. | Biosensor device and method of manufacturing the same |
US7786584B2 (en) * | 2007-11-26 | 2010-08-31 | Infineon Technologies Ag | Through substrate via semiconductor components |
CN101952945B (zh) | 2007-11-29 | 2013-08-14 | 朗姆研究公司 | 控制微负载的脉冲式偏置等离子体工艺 |
US9059116B2 (en) | 2007-11-29 | 2015-06-16 | Lam Research Corporation | Etch with pulsed bias |
CN104979188A (zh) * | 2007-12-21 | 2015-10-14 | 苏威氟有限公司 | 用于生产微机电系统的方法 |
DE102008003452A1 (de) | 2008-01-08 | 2009-07-09 | Robert Bosch Gmbh | Schutzsystem und Verfahren zur Vereinzelung von MEMS-Strukturen |
US7870814B2 (en) * | 2008-01-11 | 2011-01-18 | Jonathan Lounsbury | Gun stabilizer |
ITTO20080046A1 (it) * | 2008-01-18 | 2009-07-19 | St Microelectronics Srl | Schiera di fotodiodi operanti in modalita' geiger reciprocamente isolati e relativo procedimento di fabbricazione |
ITTO20080045A1 (it) * | 2008-01-18 | 2009-07-19 | St Microelectronics Srl | Schiera di fotodiodi operanti in modalita' geiger reciprocamente isolati e relativo procedimento di fabbricazione |
JP5102653B2 (ja) * | 2008-02-29 | 2012-12-19 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 |
KR101755207B1 (ko) | 2008-03-05 | 2017-07-19 | 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 | 펴고 접을 수 있는 전자장치 |
US20090272717A1 (en) * | 2008-03-21 | 2009-11-05 | Applied Materials, Inc. | Method and apparatus of a substrate etching system and process |
JP2009260284A (ja) * | 2008-03-25 | 2009-11-05 | Panasonic Corp | 半導体素子、および半導体素子の製造方法 |
US20090242512A1 (en) | 2008-03-27 | 2009-10-01 | Dalsa Semiconductor Inc. | Deep reactive ion etching |
US8585179B2 (en) | 2008-03-28 | 2013-11-19 | Eastman Kodak Company | Fluid flow in microfluidic devices |
JP5213496B2 (ja) * | 2008-03-31 | 2013-06-19 | 東京エレクトロン株式会社 | プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体 |
US8470701B2 (en) | 2008-04-03 | 2013-06-25 | Advanced Diamond Technologies, Inc. | Printable, flexible and stretchable diamond for thermal management |
US9386944B2 (en) | 2008-04-11 | 2016-07-12 | Sanofi-Aventis Deutschland Gmbh | Method and apparatus for analyte detecting device |
US8310128B2 (en) * | 2008-05-07 | 2012-11-13 | The Board Of Trustees Of The University Of Illinois | High precision silicon-on-insulator MEMS parallel kinematic stages |
EP2297774A1 (en) * | 2008-05-30 | 2011-03-23 | Nxp B.V. | Trench capacitor and method for producing the same |
US7772123B2 (en) * | 2008-06-06 | 2010-08-10 | Infineon Technologies Ag | Through substrate via semiconductor components |
EP2306498A1 (en) | 2008-06-17 | 2011-04-06 | Ulvac, Inc. | Method for manufacturing multistep substrate |
JP5448581B2 (ja) * | 2008-06-19 | 2014-03-19 | キヤノン株式会社 | 液体吐出ヘッド用基板の製造方法及び基板の加工方法 |
US8187972B2 (en) | 2008-07-01 | 2012-05-29 | Teledyne Scientific & Imaging, Llc | Through-substrate vias with polymer fill and method of fabricating same |
US20100001378A1 (en) * | 2008-07-01 | 2010-01-07 | Teledyne Scientific & Imaging, Llc | Through-substrate vias and method of fabricating same |
US7826065B1 (en) | 2008-07-15 | 2010-11-02 | Sandia Corporation | Tuned optical cavity magnetometer |
DE102008040522A1 (de) | 2008-07-18 | 2010-01-21 | Robert Bosch Gmbh | Verfahren zur Herstellung einer mikromechanischen Struktur und mikromechanische Struktur |
DE102008040758B4 (de) | 2008-07-28 | 2017-05-18 | Robert Bosch Gmbh | Mikromechanische Strukturen und Verfahren zur Herstellung von mikromechanischen Strukturen |
US7842923B2 (en) * | 2008-07-28 | 2010-11-30 | Alcatel-Lucent Usa Inc. | Thermal actuator for an infrared sensor |
DE102008037951B4 (de) * | 2008-08-14 | 2018-02-15 | Nawotec Gmbh | Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen von mit Gallium verunreinigten Schichten |
DE102008037943B4 (de) | 2008-08-14 | 2018-04-26 | Nawotec Gmbh | Verfahren und Vorrichtung zum elektronenstrahlinduzierten Ätzen und Halbleiterbauelement mit einer Struktur geätzt mittels eines derartigen Verfahrens |
FR2935713B1 (fr) | 2008-09-08 | 2010-12-10 | Alchimer | Procede de reparation de couches barrieres a la diffusion du cuivre sur substrat solide semi-conducteur ; kit de reparation pour la mise en oeuvre de ce procede |
US8372726B2 (en) | 2008-10-07 | 2013-02-12 | Mc10, Inc. | Methods and applications of non-planar imaging arrays |
US8886334B2 (en) | 2008-10-07 | 2014-11-11 | Mc10, Inc. | Systems, methods, and devices using stretchable or flexible electronics for medical applications |
US8389862B2 (en) | 2008-10-07 | 2013-03-05 | Mc10, Inc. | Extremely stretchable electronics |
JP5646492B2 (ja) | 2008-10-07 | 2014-12-24 | エムシー10 インコーポレイテッドMc10,Inc. | 伸縮可能な集積回路およびセンサアレイを有する装置 |
US8097926B2 (en) | 2008-10-07 | 2012-01-17 | Mc10, Inc. | Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy |
US8088667B2 (en) | 2008-11-05 | 2012-01-03 | Teledyne Scientific & Imaging, Llc | Method of fabricating vertical capacitors in through-substrate vias |
US8344503B2 (en) | 2008-11-25 | 2013-01-01 | Freescale Semiconductor, Inc. | 3-D circuits with integrated passive devices |
US7935571B2 (en) * | 2008-11-25 | 2011-05-03 | Freescale Semiconductor, Inc. | Through substrate vias for back-side interconnections on very thin semiconductor wafers |
WO2010067540A1 (ja) * | 2008-12-10 | 2010-06-17 | 株式会社アルバック | シール機構及び処理装置 |
IT1392366B1 (it) * | 2008-12-17 | 2012-02-28 | St Microelectronics Rousset | Fotodiodo operante in modalita' geiger con resistore di soppressione integrato e controllabile, schiera di fotodiodi e relativo procedimento di fabbricazione |
US8263497B2 (en) * | 2009-01-13 | 2012-09-11 | International Business Machines Corporation | High-yield method of exposing and contacting through-silicon vias |
US20100297435A1 (en) * | 2009-01-28 | 2010-11-25 | Kaul Anupama B | Nanotubes and related manufacturing processes |
US9375169B2 (en) | 2009-01-30 | 2016-06-28 | Sanofi-Aventis Deutschland Gmbh | Cam drive for managing disposable penetrating member actions with a single motor and motor and control system |
EP2224469A3 (en) | 2009-02-25 | 2015-03-25 | Imec | Method for etching 3d structures in a semiconductor substrate, including surface preparation |
DE102009002255A1 (de) | 2009-04-07 | 2010-10-14 | Robert Bosch Gmbh | Verfahren zur Herstellung eines Durchkontakts in einem strukturierten Bauteil |
IT1393781B1 (it) * | 2009-04-23 | 2012-05-08 | St Microelectronics Rousset | Fotodiodo operante in modalita' geiger con resistore di soppressione integrato e controllabile ad effetto jfet, schiera di fotodiodi e relativo procedimento di fabbricazione |
US7859350B1 (en) | 2009-04-28 | 2010-12-28 | Sandia Corporation | Microfabricated ion frequency standard |
US8231795B2 (en) | 2009-05-01 | 2012-07-31 | Avago Technologies Wireless Ip (Singapore) Pte. Ltd. | Micromachined horn |
TWI573185B (zh) | 2009-05-12 | 2017-03-01 | 美國伊利諾大學理事會 | 用於可變形及半透明顯示器之超薄微刻度無機發光二極體之印刷總成 |
US8610100B2 (en) | 2009-06-30 | 2013-12-17 | Nokia Corporation | Apparatus comprising nanowires |
GB2471520B (en) | 2009-07-03 | 2013-08-21 | Microsaic Systems Plc | An electrospray pneumatic nebuliser ionisation source |
DE102009028037A1 (de) | 2009-07-27 | 2011-02-03 | Robert Bosch Gmbh | Bauelement mit einer elektrischen Durchkontaktierung, Verfahren zur Herstellung eines Bauelementes und Bauelementsystem |
DE102009028256B4 (de) | 2009-08-05 | 2019-01-24 | Robert Bosch Gmbh | Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat |
DE112010003274T5 (de) | 2009-08-12 | 2012-12-27 | Ulvac, Inc. | Verfahren zur Herstellung eines Sputtertargets sowie Sputtertarget |
FR2949121A1 (fr) | 2009-08-12 | 2011-02-18 | Alchimer | Electrolyte et procede d''electrodeposition de cuivre sur une couche barriere, et substrat semi-conducteur obtenu par un tel procede. |
WO2011021183A2 (en) | 2009-08-17 | 2011-02-24 | Ramot At Tel-Aviv University Ltd. | Aligned nanoarray and method for fabricating the same |
CN101643904B (zh) * | 2009-08-27 | 2011-04-27 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 深硅刻蚀装置和深硅刻蚀设备的进气系统 |
US20110056812A1 (en) * | 2009-09-08 | 2011-03-10 | Kaul Anupama B | Nano-electro-mechanical switches using three-dimensional sidewall-conductive carbon nanofibers and method for making the same |
CN102031525B (zh) * | 2009-09-29 | 2014-02-12 | 中微半导体设备(上海)有限公司 | 一种深硅通孔的刻蚀方法 |
WO2011041727A1 (en) | 2009-10-01 | 2011-04-07 | Mc10, Inc. | Protective cases with integrated electronics |
US20110218756A1 (en) * | 2009-10-01 | 2011-09-08 | Mc10, Inc. | Methods and apparatus for conformal sensing of force and/or acceleration at a person's head |
DE102009045385B4 (de) | 2009-10-06 | 2019-07-04 | Robert Bosch Gmbh | Verfahren zum Herstellen eines Durchkontaktes und entsprechendes mikromechanisches Bauelement |
KR20120098756A (ko) | 2009-10-26 | 2012-09-05 | 마퍼 리쏘그라피 아이피 비.브이. | 변조 디바이스 및 변조 디바이스를 이용한 하전 입자 대중-빔렛 리소그라피 시스템 |
DE102009046461B4 (de) | 2009-11-06 | 2018-06-21 | Robert Bosch Gmbh | Verfahren zur Herstellung verkappter mikroelektromechanischer Bauelemente |
KR20120097382A (ko) * | 2009-11-09 | 2012-09-03 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 반도체의 이방성 식각 방법 |
KR20120095411A (ko) * | 2009-11-09 | 2012-08-28 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 반도체 식각 방법 |
JP5203340B2 (ja) | 2009-12-01 | 2013-06-05 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
TWI416624B (zh) * | 2009-12-11 | 2013-11-21 | Advanced Micro Fab Equip Inc | An etching method for deep - through - hole |
US10441185B2 (en) | 2009-12-16 | 2019-10-15 | The Board Of Trustees Of The University Of Illinois | Flexible and stretchable electronic systems for epidermal electronics |
US9936574B2 (en) | 2009-12-16 | 2018-04-03 | The Board Of Trustees Of The University Of Illinois | Waterproof stretchable optoelectronics |
WO2011115643A1 (en) * | 2010-03-17 | 2011-09-22 | The Board Of Trustees Of The University Of Illinois | Implantable biomedical devices on bioresorbable substrates |
JP6046491B2 (ja) | 2009-12-16 | 2016-12-21 | ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ | コンフォーマル電子機器を使用した生体内での電気生理学 |
WO2011073886A1 (en) | 2009-12-18 | 2011-06-23 | Koninklijke Philips Electronics N.V. | Substrate for a semiconductor light emitting device |
DE102010000864B4 (de) | 2010-01-13 | 2017-11-02 | Robert Bosch Gmbh | Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren |
US8435798B2 (en) * | 2010-01-13 | 2013-05-07 | California Institute Of Technology | Applications and methods of operating a three-dimensional nano-electro-mechanical resonator and related devices |
EP2348503B1 (de) | 2010-01-19 | 2015-03-11 | Fraunhofer Gesellschaft zur Förderung der angewandten Forschung e.V. | Ultraschallsensor zum Erfassen und/ oder Abtasten von Objekten und entsprechendes Herstellungsverfahren |
DE102010001021B4 (de) | 2010-01-19 | 2019-05-09 | Robert Bosch Gmbh | Mikromechanisches Bauelement und entsprechendes Herstellungsverfahren |
DE102010001504B4 (de) | 2010-02-02 | 2020-07-16 | Robert Bosch Gmbh | Eine Filtereinrichtung und ein Verfahren zur Herstellung einer Filtereinrichtung |
DE102010001667A1 (de) | 2010-02-08 | 2011-08-11 | Robert Bosch GmbH, 70469 | Herstellungsverfahren für eine poröse Mikronadelanordnung mit Rückseitenanschluss und entsprechende poröse Mikronadelanordnung |
US8384183B2 (en) * | 2010-02-19 | 2013-02-26 | Allegro Microsystems, Inc. | Integrated hall effect element having a germanium hall plate |
US20110204023A1 (en) * | 2010-02-22 | 2011-08-25 | No-Hyun Huh | Multi inductively coupled plasma reactor and method thereof |
US20110229687A1 (en) | 2010-03-19 | 2011-09-22 | Qualcomm Incorporated | Through Glass Via Manufacturing Process |
US8447148B1 (en) | 2010-03-29 | 2013-05-21 | Sandia Corporation | Latching micro optical switch |
IT1399690B1 (it) | 2010-03-30 | 2013-04-26 | St Microelectronics Srl | Fotodiodo a valanga operante in modalita' geiger ad elevato rapporto segnale rumore e relativo procedimento di fabbricazione |
US8574447B2 (en) | 2010-03-31 | 2013-11-05 | Lam Research Corporation | Inorganic rapid alternating process for silicon etch |
US8461023B1 (en) | 2010-04-13 | 2013-06-11 | Sandia Corporation | Die singulation method |
US8236611B1 (en) | 2010-04-13 | 2012-08-07 | Sandia Corporation | Die singulation method and package formed thereby |
US8965476B2 (en) | 2010-04-16 | 2015-02-24 | Sanofi-Aventis Deutschland Gmbh | Tissue penetration device |
US8698925B2 (en) | 2010-04-21 | 2014-04-15 | Intevac, Inc. | Collimator bonding structure and method |
TWI562195B (en) | 2010-04-27 | 2016-12-11 | Pilegrowth Tech S R L | Dislocation and stress management by mask-less processes using substrate patterning and methods for device fabrication |
WO2011154493A1 (en) | 2010-06-11 | 2011-12-15 | Alchimer | Copper-electroplating composition and process for filling a cavity in a semiconductor substrate using this composition |
US8361884B2 (en) | 2010-06-22 | 2013-01-29 | Infineon Technologies Ag | Plasma dicing and semiconductor devices formed thereof |
US8351053B2 (en) | 2010-06-25 | 2013-01-08 | The Board Of Trustees Of The University Of Illinois | Apparatus and method for in situ testing of microscale and nanoscale samples |
AT11920U3 (de) | 2010-08-12 | 2012-03-15 | Oesterreichische Akademie Der Wissenschaften | Verfahren zur herstellung einer mems-vorrichtung mit hohem aspektverhältnis, sowie wandler und kondensator |
US8492260B2 (en) | 2010-08-30 | 2013-07-23 | Semionductor Components Industries, LLC | Processes of forming an electronic device including a feature in a trench |
US8430482B2 (en) | 2010-09-29 | 2013-04-30 | Lexmark International, Inc. | Singulating ejection chips for micro-fluid applications |
US8133349B1 (en) | 2010-11-03 | 2012-03-13 | Lam Research Corporation | Rapid and uniform gas switching for a plasma etch process |
DE102010061795A1 (de) | 2010-11-23 | 2012-05-24 | Robert Bosch Gmbh | Verfahren zum Erzeugen einer mikromechanischen Membranstruktur und MEMS-Bauelement |
WO2012075033A2 (en) | 2010-11-29 | 2012-06-07 | President And Fellows Of Harvard College | Environmentally responsive optical microstructured hybrid actuator assemblies and applications thereof |
WO2012097163A1 (en) | 2011-01-14 | 2012-07-19 | The Board Of Trustees Of The University Of Illinois | Optical component array having adjustable curvature |
US20120211805A1 (en) | 2011-02-22 | 2012-08-23 | Bernhard Winkler | Cavity structures for mems devices |
JP5685762B2 (ja) | 2011-03-07 | 2015-03-18 | みずほ情報総研株式会社 | プラズマ加工形状シミュレーション装置及びプログラム |
US8705159B2 (en) | 2011-05-12 | 2014-04-22 | Calient Technologies, Inc. | Microelectromechanical system with a center of mass balanced by a mirror substrate |
US8982440B2 (en) | 2011-05-12 | 2015-03-17 | Calient Technologies, Inc. | Microelectromechanical system with balanced center of mass |
WO2012158709A1 (en) | 2011-05-16 | 2012-11-22 | The Board Of Trustees Of The University Of Illinois | Thermally managed led arrays assembled by printing |
KR102000302B1 (ko) | 2011-05-27 | 2019-07-15 | 엠씨10, 인크 | 전자, 광학, 및/또는 기계 장치 및 시스템, 그리고 이를 제조하기 위한 방법 |
US8562785B2 (en) | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US8934965B2 (en) | 2011-06-03 | 2015-01-13 | The Board Of Trustees Of The University Of Illinois | Conformable actively multiplexed high-density surface electrode array for brain interfacing |
US8440473B2 (en) | 2011-06-06 | 2013-05-14 | Lam Research Corporation | Use of spectrum to synchronize RF switching with gas switching during etch |
US8609548B2 (en) | 2011-06-06 | 2013-12-17 | Lam Research Corporation | Method for providing high etch rate |
US8975107B2 (en) | 2011-06-16 | 2015-03-10 | Infineon Techologies Ag | Method of manufacturing a semiconductor device comprising a membrane over a substrate by forming a plurality of features using local oxidation regions |
KR101932250B1 (ko) | 2011-06-30 | 2019-03-20 | 어플라이드 머티어리얼스, 인코포레이티드 | 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치 |
US8450188B1 (en) | 2011-08-02 | 2013-05-28 | Micro Processing Technology, Inc. | Method of removing back metal from an etched semiconductor scribe street |
US8445361B1 (en) | 2011-09-28 | 2013-05-21 | Paul C. Lindsey, Jr. | Method of dividing a semiconductor wafer having semiconductor and metal layers into separate devices |
US9046690B2 (en) | 2011-10-20 | 2015-06-02 | Si-Ware Systems | Integrated monolithic optical bench containing 3-D curved optical elements and methods of its fabrication |
US8975668B2 (en) | 2011-10-28 | 2015-03-10 | Intevac, Inc. | Backside-thinned image sensor using Al2 O3 surface passivation |
US9267605B2 (en) | 2011-11-07 | 2016-02-23 | Lam Research Corporation | Pressure control valve assembly of plasma processing chamber and rapid alternating process |
DE102011086689B4 (de) * | 2011-11-21 | 2017-02-16 | Osram Oled Gmbh | Verfahren zum Herstellen eines opto-elektronischen Bauelements |
WO2013089867A2 (en) | 2011-12-01 | 2013-06-20 | The Board Of Trustees Of The University Of Illinois | Transient devices designed to undergo programmable transformations |
DE102012200236B3 (de) * | 2012-01-10 | 2013-02-21 | Robert Bosch Gmbh | Verfahren zur Strukturierung von Siliziumcarbid und SiC-Graben-MOSFET |
US9058954B2 (en) | 2012-02-20 | 2015-06-16 | Georgia Tech Research Corporation | Carbon nanotube field emission devices and methods of making same |
GB2499816A (en) | 2012-02-29 | 2013-09-04 | Oxford Instr Nanotechnology Tools Ltd | Controlling deposition and etching in a chamber with fine time control of parameters and gas flow |
US9679751B2 (en) | 2012-03-15 | 2017-06-13 | Lam Research Corporation | Chamber filler kit for plasma etch chamber useful for fast gas switching |
KR20150004819A (ko) | 2012-03-30 | 2015-01-13 | 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 | 표면에 상응하는 부속체 장착가능한 전자 장치 |
DE102012206531B4 (de) * | 2012-04-17 | 2015-09-10 | Infineon Technologies Ag | Verfahren zur Erzeugung einer Kavität innerhalb eines Halbleitersubstrats |
US8748307B2 (en) | 2012-08-31 | 2014-06-10 | Infineon Technologies Ag | Use of a protection layer to protect a passivation while etching a wafer |
US9553021B2 (en) | 2012-09-03 | 2017-01-24 | Infineon Technologies Ag | Method for processing a wafer and method for dicing a wafer |
US8951915B2 (en) | 2012-09-11 | 2015-02-10 | Infineon Technologies Ag | Methods for manufacturing a chip arrangement, methods for manufacturing a chip package, a chip package and chip arrangements |
US8981533B2 (en) | 2012-09-13 | 2015-03-17 | Semiconductor Components Industries, Llc | Electronic device including a via and a conductive structure, a process of forming the same, and an interposer |
WO2014046083A1 (ja) * | 2012-09-18 | 2014-03-27 | 東京エレクトロン株式会社 | プラズマエッチング方法及びプラズマエッチング装置 |
DE102012216978A1 (de) | 2012-09-21 | 2014-03-27 | Robert Bosch Gmbh | Verfahren zum Herstellen eines Detektionselements für eine Vorrichtung zur Detektion von Substanzen mittels Spektroskopie, insbesondere Raman-Spektroskopie, ein solches Detektionselement und eine solche Vorrichtung |
US9171794B2 (en) | 2012-10-09 | 2015-10-27 | Mc10, Inc. | Embedding thin chips in polymer |
WO2014093555A1 (en) | 2012-12-11 | 2014-06-19 | Massachusetts Institute Of Technology | Reducing leakage current in semiconductor devices |
DE102012024339B3 (de) | 2012-12-13 | 2013-08-08 | Otto-Von-Guericke-Universität Magdeburg | Prüfvorrichtung zur Federnormalkraftmessung |
CN103072939B (zh) * | 2013-01-10 | 2016-08-03 | 北京金盛微纳科技有限公司 | 一种控温深硅刻蚀方法 |
US9153493B1 (en) | 2013-01-16 | 2015-10-06 | Micro Processing Technology, Inc. | System for separating devices from a semiconductor wafer |
US9620473B1 (en) | 2013-01-18 | 2017-04-11 | University Of Notre Dame Du Lac | Quilt packaging system with interdigitated interconnecting nodules for inter-chip alignment |
US9524900B2 (en) * | 2013-03-07 | 2016-12-20 | California Institute Of Technology | Silicon-on-insulator microchannels for biological sensors |
CN103117203B (zh) * | 2013-03-08 | 2016-08-10 | 中微半导体设备(上海)有限公司 | 一种等离子体刻蚀工艺的处理装置及方法 |
US9391557B2 (en) | 2013-03-15 | 2016-07-12 | Sandia Corporation | Solar tracking system |
US8906803B2 (en) | 2013-03-15 | 2014-12-09 | Sandia Corporation | Method of forming through substrate vias (TSVs) and singulating and releasing die having the TSVs from a mechanical support substrate |
GB201309583D0 (en) | 2013-05-29 | 2013-07-10 | Spts Technologies Ltd | Apparatus for processing a semiconductor workpiece |
KR20160019944A (ko) | 2013-06-13 | 2016-02-22 | 마이크로데믹스 인코퍼레이티드 | 금속 마이크로니들 |
FR3008544B1 (fr) | 2013-07-09 | 2015-08-07 | Commissariat Energie Atomique | Procede de gravure pour la formation d'un support a flancs rentrants destine notamment au confinement de goutte pour auto-assemblage capillaire |
JP6173086B2 (ja) * | 2013-07-19 | 2017-08-02 | キヤノン株式会社 | シリコン基板のエッチング方法 |
TWI695067B (zh) | 2013-08-05 | 2020-06-01 | 美商扭轉生物科技有限公司 | 重新合成之基因庫 |
US9488777B2 (en) | 2013-09-11 | 2016-11-08 | Oracle International Corporation | Back-side etching and cleaving of substrates |
US8906745B1 (en) | 2013-09-12 | 2014-12-09 | Micro Processing Technology, Inc. | Method using fluid pressure to remove back metal from semiconductor wafer scribe streets |
US9136136B2 (en) | 2013-09-19 | 2015-09-15 | Infineon Technologies Dresden Gmbh | Method and structure for creating cavities with extreme aspect ratios |
DE102013223490B4 (de) | 2013-11-18 | 2023-07-06 | Robert Bosch Gmbh | Verfahren zur Herstellung einer strukturierten Oberfläche |
CN105374675B (zh) * | 2013-12-03 | 2018-02-09 | 中微半导体设备(上海)有限公司 | 半导体结构的形成方法 |
US9018079B1 (en) * | 2014-01-29 | 2015-04-28 | Applied Materials, Inc. | Wafer dicing using hybrid laser scribing and plasma etch approach with intermediate reactive post mask-opening clean |
US9347846B2 (en) | 2014-03-25 | 2016-05-24 | Kionix, Inc. | Capacitance-based pressure sensor including pressure vessel(s) |
US9076860B1 (en) * | 2014-04-04 | 2015-07-07 | Applied Materials, Inc. | Residue removal from singulated die sidewall |
US9878901B2 (en) | 2014-04-04 | 2018-01-30 | Analog Devices, Inc. | Fabrication of tungsten MEMS structures |
TWI695423B (zh) | 2014-06-18 | 2020-06-01 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 用於tsv/mems/功率元件蝕刻的化學物質 |
JP6785669B2 (ja) | 2014-06-30 | 2020-11-18 | スリーエム イノベイティブ プロパティズ カンパニー | 360度プライバシーフィルム |
DE102014216195A1 (de) | 2014-08-14 | 2016-02-18 | Robert Bosch Gmbh | Vorrichtung zum anisotropen Ätzen eines Substrats und Verfahren zum Betreiben einer Vorrichtung zum anisotropen Ätzen eines Substrats |
US9541462B2 (en) | 2014-08-29 | 2017-01-10 | Kionix, Inc. | Pressure sensor including deformable pressure vessel(s) |
US10883168B2 (en) | 2014-09-11 | 2021-01-05 | Massachusetts Institute Of Technology | Processing system for small substrates |
EP3012857A1 (en) | 2014-10-21 | 2016-04-27 | ams AG | Method of producing an opening with smooth vertical sidewall in a semiconductor substrate |
CN105719965A (zh) * | 2014-12-04 | 2016-06-29 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 二氧化硅基片的刻蚀方法和刻蚀设备 |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US10669304B2 (en) | 2015-02-04 | 2020-06-02 | Twist Bioscience Corporation | Methods and devices for de novo oligonucleic acid assembly |
CA2975855A1 (en) | 2015-02-04 | 2016-08-11 | Twist Bioscience Corporation | Compositions and methods for synthetic gene assembly |
TWI687987B (zh) | 2015-02-17 | 2020-03-11 | 愛爾蘭商滿捷特科技公司 | 填充蝕刻洞的製程 |
US9806252B2 (en) | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9981239B2 (en) | 2015-04-21 | 2018-05-29 | Twist Bioscience Corporation | Devices and methods for oligonucleic acid library synthesis |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9812354B2 (en) | 2015-05-15 | 2017-11-07 | Semiconductor Components Industries, Llc | Process of forming an electronic device including a material defining a void |
US9612224B2 (en) * | 2015-05-29 | 2017-04-04 | International Business Machines Corporation | High density nano-array for sensing |
WO2016196675A1 (en) | 2015-06-01 | 2016-12-08 | The Board Of Trustees Of The University Of Illinois | Miniaturized electronic systems with wireless power and near-field communication capabilities |
BR112017025616A2 (pt) | 2015-06-01 | 2018-08-07 | Univ Illinois | abordagem alternativa à captação de uv |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
WO2017049231A1 (en) | 2015-09-18 | 2017-03-23 | Twist Bioscience Corporation | Oligonucleic acid variant libraries and synthesis thereof |
CN108698012A (zh) | 2015-09-22 | 2018-10-23 | 特韦斯特生物科学公司 | 用于核酸合成的柔性基底 |
US10925543B2 (en) | 2015-11-11 | 2021-02-23 | The Board Of Trustees Of The University Of Illinois | Bioresorbable silicon electronics for transient implants |
FR3044165B1 (fr) | 2015-11-23 | 2018-03-16 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Realisation d'interconnexions par recourbement d'elements conducteurs sous un dispositif microelectronique tel qu'une puce |
US10522429B2 (en) * | 2015-11-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor device |
CN115920796A (zh) | 2015-12-01 | 2023-04-07 | 特韦斯特生物科学公司 | 功能化表面及其制备 |
US20170186837A1 (en) * | 2015-12-29 | 2017-06-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Deep trench capacitor with scallop profile |
EP3411899A1 (en) | 2016-02-01 | 2018-12-12 | King Abdullah University Of Science And Technology | Hybrid mask for deep etching |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
JP6524419B2 (ja) * | 2016-02-04 | 2019-06-05 | パナソニックIpマネジメント株式会社 | 素子チップの製造方法 |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
JPWO2017159512A1 (ja) * | 2016-03-17 | 2019-01-24 | 日本ゼオン株式会社 | プラズマエッチング方法 |
US10553358B2 (en) | 2016-04-29 | 2020-02-04 | The Regents Of The University Of California | Electronic substrates and interposers made from nanoporous films |
US10056297B1 (en) | 2016-06-20 | 2018-08-21 | Paul C. Lindsey, Jr. | Modified plasma dicing process to improve back metal cleaving |
GB201611652D0 (en) | 2016-07-04 | 2016-08-17 | Spts Technologies Ltd | Method of detecting a condition |
WO2018038772A1 (en) | 2016-08-22 | 2018-03-01 | Twist Bioscience Corporation | De novo synthesized nucleic acid libraries |
US11268927B2 (en) | 2016-08-30 | 2022-03-08 | Analog Devices International Unlimited Company | Electrochemical sensor, and a method of forming an electrochemical sensor |
US10620151B2 (en) | 2016-08-30 | 2020-04-14 | Analog Devices Global | Electrochemical sensor, and a method of forming an electrochemical sensor |
EP3516528A4 (en) | 2016-09-21 | 2020-06-24 | Twist Bioscience Corporation | NUCLEIC ACID BASED DATA STORAGE |
US10872950B2 (en) | 2016-10-04 | 2020-12-22 | Nanohenry Inc. | Method for growing very thick thermal local silicon oxide structures and silicon oxide embedded spiral inductors |
US10510828B2 (en) | 2016-10-04 | 2019-12-17 | Nano Henry, Inc. | Capacitor with high aspect radio silicon cores |
DE102016220248A1 (de) * | 2016-10-17 | 2018-04-19 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung |
JP7169975B2 (ja) | 2016-12-16 | 2022-11-11 | ツイスト バイオサイエンス コーポレーション | 免疫シナプスの変異体ライブラリーおよびその合成 |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
JP2020508661A (ja) | 2017-02-22 | 2020-03-26 | ツイスト バイオサイエンス コーポレーション | 核酸ベースのデータ保存 |
AU2018234629A1 (en) | 2017-03-15 | 2019-10-17 | Twist Bioscience Corporation | Variant libraries of the immunological synapse and synthesis thereof |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US11133190B2 (en) | 2017-05-05 | 2021-09-28 | Lawrence Livermore National Security, Llc | Metal-based passivation-assisted plasma etching of III-v semiconductors |
IL271205B1 (en) | 2017-06-12 | 2024-10-01 | Twist Bioscience Corp | Methods for assembling contiguous nucleic acids |
WO2018231864A1 (en) | 2017-06-12 | 2018-12-20 | Twist Bioscience Corporation | Methods for seamless nucleic acid assembly |
GB201709668D0 (en) | 2017-06-16 | 2017-08-02 | Spts Technologies Ltd | Microneedles |
US11276727B1 (en) | 2017-06-19 | 2022-03-15 | Rigetti & Co, Llc | Superconducting vias for routing electrical signals through substrates and their methods of manufacture |
DE102017210705A1 (de) | 2017-06-26 | 2018-12-27 | Robert Bosch Gmbh | Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung |
FR3069102A1 (fr) | 2017-07-13 | 2019-01-18 | Stmicroelectronics (Tours) Sas | Procede de fabrication de puces isolees lateralement |
DE102017213351A1 (de) | 2017-08-02 | 2019-02-07 | Robert Bosch Gmbh | Sensorvorrichtung und Herstellungsverfahren für eine Sensorvorrichtung mit zumindest einer chemischen oder elektrochemischen Detektiereinrichtung |
AU2018328847A1 (en) | 2017-09-11 | 2020-04-23 | Twist Bioscience Corporation | GPCR binding proteins and synthesis thereof |
CA3079613A1 (en) | 2017-10-20 | 2019-04-25 | Twist Bioscience Corporation | Heated nanowells for polynucleotide synthesis |
JP6984342B2 (ja) | 2017-11-22 | 2021-12-17 | セイコーエプソン株式会社 | 物理量センサー、物理量センサーの製造方法、慣性計測ユニット、携帯型電子機器、電子機器、および移動体 |
DE102017222404A1 (de) | 2017-12-11 | 2019-06-13 | Blickfeld GmbH | Zweiteiliger spiegel |
JP6787304B2 (ja) | 2017-12-19 | 2020-11-18 | セイコーエプソン株式会社 | 物理量センサー、複合センサー、慣性計測ユニット、携帯型電子機器、電子機器、および移動体 |
JP7407499B2 (ja) | 2017-12-26 | 2024-01-04 | 株式会社ディスコ | 凹部又は貫通孔の形成方法、電極の形成方法 |
JP7052345B2 (ja) * | 2017-12-27 | 2022-04-12 | セイコーエプソン株式会社 | 物理量センサー、物理量センサーの製造方法、複合センサー、慣性計測ユニット、携帯型電子機器、電子機器、および移動体 |
JP7191448B2 (ja) | 2018-01-04 | 2022-12-19 | ツイスト バイオサイエンス コーポレーション | Dnaベースのデジタル情報ストレージ |
JP2019132690A (ja) | 2018-01-31 | 2019-08-08 | セイコーエプソン株式会社 | 物理量センサー、物理量センサーデバイス、複合センサーデバイス、慣性計測装置、移動体測位装置、携帯型電子機器、電子機器、移動体および物理量センサーの出力信号調整方法 |
US11022579B2 (en) | 2018-02-05 | 2021-06-01 | Analog Devices International Unlimited Company | Retaining cap |
US11829107B2 (en) | 2018-02-07 | 2023-11-28 | Patek Philippe Sa Geneve | Micro-mechanical timepiece part |
US10395940B1 (en) | 2018-03-13 | 2019-08-27 | Toyota Motor Engineering & Manufacturing North America, Inc. | Method of etching microelectronic mechanical system features in a silicon wafer |
US11714232B2 (en) | 2018-03-21 | 2023-08-01 | Ecole polytechnique fédérale de Lausanne (EPFL) | Optical coupling device |
AU2019270243A1 (en) | 2018-05-18 | 2021-01-07 | Twist Bioscience Corporation | Polynucleotides, reagents, and methods for nucleic acid hybridization |
DE102018210482B4 (de) | 2018-06-27 | 2022-07-07 | Robert Bosch Gmbh | Mikromechanisches Bauelement und Verfahren zur Herstellung eines mikromechanischen Bauelements |
RU2691758C1 (ru) * | 2018-08-17 | 2019-06-18 | Федеральное государственное бюджетное учреждение науки Физико-технологический институт имени К.А. Валиева Российской академии наук (ФТИАН им К.А. Валиева РАН) | Способ анизотропного плазменного травления кремниевых микроструктур в циклическом двухшаговом процессе окисление-травление |
JP7192437B2 (ja) | 2018-11-28 | 2022-12-20 | セイコーエプソン株式会社 | 慣性センサー、電子機器および移動体 |
US20200194270A1 (en) | 2018-12-13 | 2020-06-18 | Asm Technology Singapore Pte Ltd | Plasma chemical processing of wafer dies |
DE102018132830A1 (de) | 2018-12-19 | 2020-06-25 | Blickfeld GmbH | Spiegel |
JP7215800B2 (ja) * | 2019-02-19 | 2023-01-31 | 住友電工デバイス・イノベーション株式会社 | 半導体装置の製造方法および半導体装置 |
EP3930753A4 (en) | 2019-02-26 | 2023-03-29 | Twist Bioscience Corporation | NUCLEIC ACID VARIANT BANKS FOR THE GLP1 RECEPTOR |
SG11202109283UA (en) | 2019-02-26 | 2021-09-29 | Twist Bioscience Corp | Variant nucleic acid libraries for antibody optimization |
JP2020151796A (ja) * | 2019-03-19 | 2020-09-24 | 株式会社リコー | 振動素子基板の製造方法及び振動素子基板 |
EP3987019A4 (en) | 2019-06-21 | 2023-04-19 | Twist Bioscience Corporation | BARCODE-BASED NUCLEIC ACID SEQUENCE ARRANGEMENT |
EP3764169B1 (fr) | 2019-07-10 | 2023-03-15 | Patek Philippe SA Genève | Procede pour depolir par endroits un composant horloger en silicium |
CA3155629A1 (en) | 2019-09-23 | 2021-04-01 | Twist Bioscience Corporation | Variant nucleic acid libraries for crth2 |
GB201918333D0 (en) | 2019-12-12 | 2020-01-29 | Spts Technologies Ltd | A semiconductor wafer dicing process |
JP7382578B2 (ja) * | 2019-12-27 | 2023-11-17 | パナソニックIpマネジメント株式会社 | プラズマ処理方法および素子チップの製造方法 |
US11513108B2 (en) | 2020-01-14 | 2022-11-29 | Mks Instruments, Inc. | Method and apparatus for pulse gas delivery with concentration measurement |
US11358858B2 (en) | 2020-01-24 | 2022-06-14 | Panasonic Intellectual Property Management Co., Ltd. | Semiconductor device and method of manufacturing thereof |
US11069509B1 (en) | 2020-03-16 | 2021-07-20 | Fei Company | Method and system for backside planar view lamella preparation |
US11735478B2 (en) | 2020-05-05 | 2023-08-22 | Ecole Polytechnique Federale De Lausanne (Epfl) | System and method for removing scalloping and tapering effects in high aspect ratio through-silicon vias of wafers |
DE102020206696A1 (de) * | 2020-05-28 | 2021-12-02 | Robert Bosch Gesellschaft mit beschränkter Haftung | Verfahren und Steuergerät zum Herstellen eines Trägerelements zum Aufnehmen einer Probenflüssigkeit, Trägerelement, Trägermodul und Verfahren zum Verwenden eines Trägerelements |
US11262506B1 (en) * | 2020-08-07 | 2022-03-01 | Advanced Semiconductor Engineering, Inc. | Recessed portion in a substrate and method of forming the same |
DE102020211313B4 (de) | 2020-09-09 | 2022-06-30 | Robert Bosch Gesellschaft mit beschränkter Haftung | Verfahren zur Herstellung einer mikroelektromechanischen Struktur und mikroelektromechanische Struktur |
US11361971B2 (en) | 2020-09-25 | 2022-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | High aspect ratio Bosch deep etch |
EP4231785A1 (en) | 2020-10-19 | 2023-08-23 | Tokyo Electron Limited | Substrate processing method and substrate processing device |
GB202020022D0 (en) | 2020-12-17 | 2021-02-03 | Spts Technologies Ltd | Method and apparatus |
EP4020024A1 (en) | 2020-12-22 | 2022-06-29 | Paul Scherrer Institut | Method for producing high aspect ratio fan-shaped optics |
DE102021200431A1 (de) | 2021-01-19 | 2022-07-21 | Robert Bosch Gesellschaft mit beschränkter Haftung | Verfahren zum Bilden eines Trenchgrabens in einer ersten Halbleiterschicht eines Mehrschichtsystems |
US12103843B2 (en) | 2021-01-20 | 2024-10-01 | Calient.Ai Inc. | MEMS mirror arrays with reduced crosstalk |
FR3119047A1 (fr) | 2021-01-21 | 2022-07-22 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Structure de micro-insert a armature en silicium |
JP7320554B2 (ja) | 2021-04-27 | 2023-08-03 | 株式会社アルバック | エッチング方法 |
CN113800466B (zh) * | 2021-09-23 | 2023-08-29 | 华东光电集成器件研究所 | 一种mems悬浮结构的深硅刻蚀方法 |
EP4383011A1 (fr) | 2022-12-07 | 2024-06-12 | Patek Philippe SA Genève | Pièce de micromécanique horlogère et son procédé de fabrication |
GB2629555A (en) | 2023-01-25 | 2024-11-06 | Memsstar Ltd | Method of manufacturing a microstructure |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6050923A (ja) * | 1983-08-31 | 1985-03-22 | Hitachi Ltd | プラズマ表面処理方法 |
US4855017A (en) * | 1985-05-03 | 1989-08-08 | Texas Instruments Incorporated | Trench etch process for a single-wafer RIE dry etch reactor |
US4784720A (en) * | 1985-05-03 | 1988-11-15 | Texas Instruments Incorporated | Trench etch process for a single-wafer RIE dry etch reactor |
CA1260365A (en) * | 1985-05-06 | 1989-09-26 | Lee Chen | Anisotropic silicon etching in fluorinated plasma |
DE3613181C2 (de) * | 1986-04-18 | 1995-09-07 | Siemens Ag | Verfahren zum Erzeugen von Gräben mit einstellbarer Steilheit der Grabenwände in aus Silizium bestehenden Halbleitersubstraten |
JPS62253785A (ja) * | 1986-04-28 | 1987-11-05 | Tokyo Univ | 間欠的エツチング方法 |
KR900007687B1 (ko) * | 1986-10-17 | 1990-10-18 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마처리방법 및 장치 |
US4797178A (en) * | 1987-05-13 | 1989-01-10 | International Business Machines Corporation | Plasma etch enhancement with large mass inert gas |
FR2616030A1 (fr) * | 1987-06-01 | 1988-12-02 | Commissariat Energie Atomique | Procede de gravure ou de depot par plasma et dispositif pour la mise en oeuvre du procede |
JP2918892B2 (ja) * | 1988-10-14 | 1999-07-12 | 株式会社日立製作所 | プラズマエッチング処理方法 |
KR900013595A (ko) * | 1989-02-15 | 1990-09-06 | 미다 가쓰시게 | 플라즈마 에칭방법 및 장치 |
JPH0383335A (ja) * | 1989-08-28 | 1991-04-09 | Hitachi Ltd | エッチング方法 |
JPH03129820A (ja) * | 1989-10-16 | 1991-06-03 | Seiko Epson Corp | 半導体製造装置及び半導体装置の製造方法 |
DE3940083A1 (de) * | 1989-12-04 | 1991-06-13 | Siemens Ag | Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen |
JPH04303929A (ja) * | 1991-01-29 | 1992-10-27 | Micron Technol Inc | シリコン基板をトレンチ・エッチングするための方法 |
JPH04311033A (ja) * | 1991-02-20 | 1992-11-02 | Micron Technol Inc | 半導体デバイスのエッチング後処理方法 |
US5188254A (en) * | 1992-04-21 | 1993-02-23 | Evans Harold A | Bag holding system for recyclables |
-
1992
- 1992-12-05 DE DE4241045A patent/DE4241045C1/de not_active Expired - Lifetime
-
1993
- 1993-11-27 EP EP94900729A patent/EP0625285B1/de not_active Expired - Lifetime
- 1993-11-27 WO PCT/DE1993/001129 patent/WO1994014187A1/de active IP Right Grant
- 1993-11-27 US US08/284,490 patent/US5501893A/en not_active Expired - Lifetime
- 1993-11-27 JP JP6513639A patent/JPH07503815A/ja not_active Withdrawn
-
2007
- 2007-01-09 JP JP2007001632A patent/JP4090492B2/ja not_active Expired - Lifetime
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8633116B2 (en) | 2010-01-26 | 2014-01-21 | Ulvac, Inc. | Dry etching method |
KR20180123982A (ko) | 2017-05-10 | 2018-11-20 | 가부시기가이샤 디스코 | 피가공물의 가공 방법 |
KR20200034597A (ko) | 2018-09-21 | 2020-03-31 | 가부시기가이샤 디스코 | 웨이퍼의 가공 방법 |
Also Published As
Publication number | Publication date |
---|---|
US5501893A (en) | 1996-03-26 |
EP0625285A1 (de) | 1994-11-23 |
DE4241045C1 (de) | 1994-05-26 |
JP2007129260A (ja) | 2007-05-24 |
WO1994014187A1 (de) | 1994-06-23 |
EP0625285B1 (de) | 2000-03-22 |
JPH07503815A (ja) | 1995-04-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4090492B2 (ja) | ケイ素の異方性エッチング法 | |
JP4847635B2 (ja) | 種々の基板を異方性プラズマ加工する方法 | |
JP4601113B2 (ja) | 基板の異方性エッチング方法 | |
KR100613842B1 (ko) | 절연체 구조 상에 실질적으로 언더컷이 없는 실리콘을만들기 위한 식각 방법 | |
US5658472A (en) | Method for producing deep vertical structures in silicon substrates | |
JP4783169B2 (ja) | ドライエッチング方法、微細構造形成方法、モールド及びその製造方法 | |
KR100531560B1 (ko) | 실리콘용이방성플루오르계플라즈마에칭방법 | |
US7049244B2 (en) | Method for enhancing silicon dioxide to silicon nitride selectivity | |
JP3000717B2 (ja) | ドライエッチング方法 | |
JP4852196B2 (ja) | 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法 | |
JPH06349784A (ja) | 基板を異方性プラズマエッチングする方法および装置、および電子部品またはセンサー素子 | |
KR20010042983A (ko) | 고 종횡비 개구를 형성하는 방법 | |
US5522966A (en) | Dry etching process for semiconductor | |
EP0596593B1 (en) | Plasma etch process | |
JPH0613349A (ja) | ドライエッチング方法 | |
JPWO2007094087A1 (ja) | ドライエッチング方法、微細構造形成方法、モールド及びその製造方法 | |
US6069087A (en) | Highly selective dry etching process | |
EP0212585B1 (en) | Selective and anisotropic dry etching | |
JP3038984B2 (ja) | ドライエッチング方法 | |
JP3363782B2 (ja) | 集積回路構造の選択性の高い酸化物エッチングプロセス | |
JPH06318575A (ja) | ドライエッチング方法 | |
KR20030038651A (ko) | 도핑되지 않은 이산화규소와 질화규소 위의 도핑된이산화규소를 선택적으로 에칭시키는 방법 | |
Fleddermann | Plasma etching of PLZT: Review and future prospects | |
Fleming et al. | Characterization and application of deep Si trench etching | |
JPS6252933A (ja) | 気体プラズマ・エツチング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070309 |
|
A871 | Explanation of circumstances concerning accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A871 Effective date: 20070309 |
|
A975 | Report on accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A971005 Effective date: 20070413 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070614 |
|
RD13 | Notification of appointment of power of sub attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7433 Effective date: 20070620 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20070620 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20070912 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20070918 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071012 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20080201 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20080226 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110307 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110307 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130307 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140307 Year of fee payment: 6 |
|
EXPY | Cancellation because of completion of term |