Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

Survey of Low-Power Testing of VLSI Circuits

Published: 01 May 2002 Publication History

Abstract

The author reviews low-power testing techniques for VLSI circuits. He prefaces this with a discussion of power consumption that gives reasons for and consequences of increased power during test. The article ends with a discussion of the opportunity to use such techniques in varying situations.

References

[1]
B. Chappell, "The Fine Art of IC Design," IEEE Spectrum, vol. 36, no. 7, July 1999, pp. 30-34.
[2]
A. Crouch, Design-for-Test for Digital IC's and Embedded Core Systems, Prentice Hall, Upper Saddle River, N.J., 1999.
[3]
Y. Zorian, "A Distributed BIST Control Scheme for Complex VLSI Devices," Proc. 11th IEEE VLSI Test Symp. (VTS 93), IEEE CS Press, Los Alamitos, Calif., 1993, pp. 4-9.
[4]
J. Rajski and J. Tyszer, Arithmetic Built-In Self-Test for Embedded Systems, Prentice Hall PTR, Upper Saddle River, N.J., 1998.
[5]
M.L. Bushnell and V.D. Agrawal, Essentials of Electronic Testing, Kluwer Academic, Boston, 2000, p. 14.
[6]
S. Wang and S.K. Gupta, "DS-LFSR: A New BIST TPG for Low Heat Dissipation," Proc. Int'l Test Conf. (ITC 97), IEEE Press, Piscataway, N.J., 1997, pp. 848-857.
[7]
J. Monzel, et al., "Power Dissipation During Testing: Should We Worry About It?" Proc. 15th IEEE VLSI Test Symp. (VTS 97), IEEE CS Press, Los Alamitos, Calif., 1997, p. 456.
[8]
T.W. Williams, et al., "IDDQ Test: Sensitivity Analysis of Scaling," Proc. Int'l Test Conf. (ITC 96), IEEE Press, Piscataway, N.J., 1996, pp. 786-792.
[9]
M.A. Cirit, "Estimating Dynamic Power Consumption of CMOS Circuits," Proc. Int'l Conf. Computer-Aided Design (ICCAD 87), IEEE CS Press, Los Alamitos, Calif., 1987, pp. 534-537.
[10]
C.Y. Wang and K. Roy, "Maximum Power Estimation for CMOS Circuits Using Deterministic and Statistical Approaches," Proc. 9th IEEE VLSI Conf., IEEE CS Press, Los Alamitos, Calif., 1995, pp. 364-369.
[11]
N.H.E. Weste K. Eshraghian and M.J.S. Smith, Principles of CMOS VLSI Design: A Systems Perspective, Addison-Wesley Longman, Reading, Mass., 2000.
[12]
A. Krstic K.T. Cheng and S.T. Chakradhar, "Testing High Speed VLSI Devices Using Slower Testers," Proc. 17th VLSI Test Symp. (VTS 99), IEEE CS Press, Los Alamitos, Calif., 1999, pp. 16-21.
[13]
R. Parkar, "Bare Die Test," Proc. IEEE Multi-Chip Module Conf., IEEE CS Press, Los Alamitos, Calif., 1992, pp. 24-27.
[14]
H. Cheung and S. Gupta, "A BIST Methodology for Comprehensive Testing of RAM with Reduced Heat Dissipation," Proc. Int'l Test Conf. (ITC 96), IEEE Press, Piscataway, N.J., 1996, pp. 22-32.
[15]
H.J. Wunderlich, "BIST for Systems-on-a-Chip," Integration: The VLSI J., vol. 26, nos. 1-2, Dec. 1998, pp. 55-78.
[16]
A. Hertwig and H.J. Wunderlich, "Low Power Serial Built-In Self-Test," Proc. 3rd European Test Workshop (ETW 98), IEEE CS Press, Los Alamitos, Calif., 1998, pp. 49-53.
[17]
S. Wang and S.K. Gupta, "ATPG for Heat Dissipation Minimization During Test Application," IEEE Trans. Computers, vol. 47, no. 2, Feb. 1998, pp. 256-262.
[18]
S. Wang and S.K. Gupta, "ATPG for Heat Dissipation Minimization for Scan Testing," Proc. 34th ACM/IEEE Design Auto. Conf. (DAC 97), ACM Press, New York, 1997, pp. 614-619.
[19]
F. Corno, et al., "A Test Pattern Generation Methodology for Low Power Consumption," Proc. 16th VLSI Test Symp. (VTS 98), IEEE CS Press, Los Alamitos, Calif., 1998, pp 453-459.
[20]
S. Chakravarty and V. Dabholkar, "Minimizing Power Dissipation in Scan Circuits During Test Application," Proc. IEEE Int'l Workshop on Low Power Design, IEEE CS Press, Los Alamitos, Calif., 1994, pp. 51-56.
[21]
P. Girard, et al., "Reducing Power Consumption during Test Application by Test Vector Ordering," Proc. Int'l Symp. Circuits and Systems (ISCAS 98), Part II, IEEE CS Press, Los Alamitos, Calif., 1998, pp. 296-299.
[22]
P. Girard, et al., "A Test Vector Ordering Technique for Switching Activity Reduction during Test Operation," Proc. 9th Great Lakes Symp. on VLSI (GLS-VLSI 99), IEEE CS Press, Los Alamitos, Calif., 1999, pp. 24-27.
[23]
V. Dabholkar, et al., "Techniques for Reducing Power Dissipation During Test Application in Full Scan Circuits," IEEE Trans. Computer-Aided Design, vol. 17, no. 12, Dec. 1998, pp. 1325-1333.
[24]
Y. Bonhomme, et al., "Scan Cell Ordering for Low Power Scan Testing," to be published in Proc. 7th European Test Workshop (ETW 02), IEEE CS Press, Los Alamitos, Calif., 2002.
[25]
T.C. Huang and K.J. Lee, "An Input Control Technique for Power Reduction in Scan Circuits During Test Application," Proc. 8th Asian Test Symp. (ATS 99), IEEE CS Press, Los Alamitos, Calif., 1999, pp. 315-320.
[26]
R. Sankaralingam R. Oruganti and N.A. Touba, "Static Compaction Techniques to Control Scan Vector Power Dissipation," Proc. 18th VLSI Test Symp. (VTS 00), IEEE CS Press, Los Alamitos, Calif., 2000, pp. 35-42.
[27]
A. Chandra and K. Chakrabarty, "Combining Low-Power Scan Testing and Test Data Compression for System-on-a-Chip," Proc. 38th ACM/IEEE Design Auto. Conf. (DAC 01), ACM Press, New York, 2001, pp. 166-169.
[28]
L. Whetsel, "Adapting Scan Architectures for Low Power Operation," Proc. Int'l Test Conf. (ITC 00), IEEE Press, Piscataway, N.J., 2000, pp. 863-872.
[29]
K.-J. Lee T.-C. Huang and J.-J. Chen, "Peak-Power Reduction for Multiple-Scan Circuits during Test Application," Proc. 9th Asian Test Symp. (ATS 00), IEEE CS Press, Los Alamitos, Calif., 2000, pp. 453-458.
[30]
B. Pouya and A. Crouch, "Optimization Trade-offs for Vector Volume and Test Power," Proc. Int'l Test Conf. (ITC 00), IEEE Press, Piscataway, N.J., 2000, pp. 873-881.
[31]
R. Sankaralingam B. Pouya and N.A. Touba, "Reducing Power Dissipation During Test Using Scan Chain Disable," Proc. 19th VLSI Test Symp. (VTS 01), IEEE CS Press, Los Alamitos, Calif., 2001, pp. 319-324.
[32]
Y. Bonhomme, et al., "A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores," Proc. 10th Asian Test Symp. (ATS 01), IEEE CS Press, Los Alamitos, Calif., 2001, pp. 253-258.
[33]
R.M. Chou K.K. Saluja and V.D. Agrawal, "Power Constraint Scheduling of Tests," Proc. 7th Int'l Conf. VLSI Design, IEEE CS Press, Los Alamitos, Calif., 1994, pp. 271-274.
[34]
V. Iyengar and K. Chakrabarty, "Precedence-Based, Preemptive, and Power-Constrained Test Scheduling for System-on-a-Chip," Proc. 19th VLSI Test Symp. (VTS 01), IEEE CS Press, Los Alamitos, Calif., 2001, pp. 368-374.
[35]
F. Corno, et al., "Low Power BIST via Non-Linear Hybrid Cellular Automata," Proc. 18th VLSI Test Symp. (VTS 00), IEEE CS Press, Los Alamitos, Calif., 2000, pp. 29-34.
[36]
P. Girard, et al., "A Modified Clock Scheme for a Low Power BIST Test Pattern Generator," Proc. 19th VLSI Test Symp. (VTS 01), IEEE CS Press, Los Alamitos, Calif., 2001, pp. 306-311.
[37]
X. Zhang K. Roy and S. Bhawmik, "POWERTEST: A Tool for Energy Conscious Weighted Random Pattern Testing," Proc. 12th Int'l Conf. VLSI Design, IEEE CS Press, Los Alamitos, Calif., 1999, pp.416-422.
[38]
S. Wang and S.K. Gupta, "LT-RTPG: A New Test-Per-Scan BIST TPG for Low Heat Dissipation," Proc. Int'l Test Conf. (ITC 99), IEEE Press, Piscataway, N.J., 1999, pp. 85-94.
[39]
D Gizopoulos, et al., "Low Power/Energy BIST Scheme for Datapaths," Proc. 18th VLSI Test Symp. (VTS 00), IEEE CS Press, Los Alamitos, Calif., 2000, pp. 23-28.
[40]
P. Girard, et al., "Low Energy BIST Design: Impact of the LFSR TPG Parameters on the Weighted Switching Activity," Proc. Int'l Symp. on Circuits and Systems (ISCAS 99), IEEE CS Press, Los Alamitos, Calif., 1999.
[41]
P. Girard, et al., "A Test Vector Inhibiting Technique for Low Energy BIST Design," Proc. 17th VLSI Test Symp. (VTS 99), IEEE CS Press, Los Alamitos, Calif., 1999, pp. 407-412.
[42]
S. Manich, et al., "Low Power BIST by Filtering Non-Detecting Vectors," J. of Electronic Testing Theory and Applications (JETTA), vol. 16, no. 3, June 2000, pp. 193-202.
[43]
S. Gerstendörfer and H.J. Wunderlich, "Minimized Power Consumption for Scan-based BIST," Proc. Int'l Test Conf. (ITC 99), IEEE Press, Piscataway, N.J., 1999, pp. 77-84.
[44]
P. Girard, et al., "Low Power BIST Design by Hypergraph Partitioning: Methodology and Architectures," Proc. Int'l Test Conf. (ITC 00), IEEE Press, Piscataway, N.J., 2000, pp. 652-661.
[45]
B. Pouya and A. Crouch, "Optimization Trade-offs for Vector Volume and Test Power," Proc. Int'l Test Conf. (ITC 00), IEEE Press, Piscataway, N.J., 2000, pp. 873-881.

Cited By

View all
  • (2017)An integrated DFT solution for power reduction in scan test applications by low power gating scan cellIntegration, the VLSI Journal10.1016/j.vlsi.2016.12.00957:C(108-124)Online publication date: 1-Mar-2017
  • (2017)Temperature and data size trade-off in dictionary based test data compressionIntegration, the VLSI Journal10.1016/j.vlsi.2016.11.00257:C(20-33)Online publication date: 1-Mar-2017
  • (2016)Test Pattern Modification for Average IR-Drop ReductionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.239129124:1(38-49)Online publication date: 1-Jan-2016
  • Show More Cited By

Index Terms

  1. Survey of Low-Power Testing of VLSI Circuits

        Recommendations

        Comments

        Please enable JavaScript to view thecomments powered by Disqus.

        Information & Contributors

        Information

        Published In

        cover image IEEE Design & Test
        IEEE Design & Test  Volume 19, Issue 3
        May 2002
        195 pages

        Publisher

        IEEE Computer Society Press

        Washington, DC, United States

        Publication History

        Published: 01 May 2002

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 23 Sep 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2017)An integrated DFT solution for power reduction in scan test applications by low power gating scan cellIntegration, the VLSI Journal10.1016/j.vlsi.2016.12.00957:C(108-124)Online publication date: 1-Mar-2017
        • (2017)Temperature and data size trade-off in dictionary based test data compressionIntegration, the VLSI Journal10.1016/j.vlsi.2016.11.00257:C(20-33)Online publication date: 1-Mar-2017
        • (2016)Test Pattern Modification for Average IR-Drop ReductionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.239129124:1(38-49)Online publication date: 1-Jan-2016
        • (2016)Efficient Spatial Variation Modeling of Nanoscale Integrated Circuits Via Hidden Markov TreeIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2015.248186835:6(971-984)Online publication date: 1-Jun-2016
        • (2016)An Effective Power-Aware At-Speed Test Methodology for IP Qualification and CharacterizationJournal of Electronic Testing: Theory and Applications10.1007/s10836-016-5621-132:6(721-733)Online publication date: 1-Dec-2016
        • (2015)DP-fillProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755943(836-841)Online publication date: 9-Mar-2015
        • (2015)A novel scan architecture for low power scan-based testingVLSI Design10.1155/2015/2640712015(3-3)Online publication date: 1-Jan-2015
        • (2015)DFT Assisted Techniques for Peak Launch-to-Capture Power Reduction during Launch-On-Shift At-Speed TestingACM Transactions on Design Automation of Electronic Systems10.1145/279029721:1(1-25)Online publication date: 2-Dec-2015
        • (2015)On the Generation of SIC Pairs in Optimal TimeIEEE Transactions on Computers10.1109/TC.2014.237518164:10(2891-2901)Online publication date: 1-Oct-2015
        • (2014)High-Level Test SynthesisACM Transactions on Design Automation of Electronic Systems10.1145/262775419:4(1-27)Online publication date: 29-Aug-2014
        • Show More Cited By

        View Options

        View options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media