Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3384544.3384547acmotherconferencesArticle/Chapter ViewAbstractPublication PagesicscaConference Proceedingsconference-collections
research-article

A Comprehensive Investigation of Universal Verification Methodology (UVM) Standard for Design Verification

Published: 17 April 2020 Publication History

Abstract

Universal Verification Methodology (UVM) is getting attention of researchers and functional verification community due to its advance flexibility, reusability and reliability features for design verification of multifaceted embedded systems. This is the reason that different tool vendors like Mentor Graphics support UVM-based simulation for design verification. Similarly, researchers frequently explore / utilize UVM to enhance the verification capabilities for embedded systems. In this context, there is a strong need to summarize the latest advancements, tools and techniques for UVM standard. Therefore, this article performs a Systematic Literature Review (SLR) to identify 27 studies (i.e. 2017-2019) pertaining to UVM standard. Subsequently, 21 UVM-based frameworks and 9 tools are identified. Moreover, key benefits of UVM standard are investigated. Finally, a comparative analysis of UVM with OVM (Open Verification Methodology) is performed. It is concluded that UVM provides advanced phasing mechanism, reporting, callbacks, objections, sequence libraries and control over simulation as compared to OVM. Researchers and practitioners of domain can highly benefit from findings of this article.

References

[1]
El-Ashry, et al., On Error Injection for NoC Platforms: A UVM-based Practical Case Study, in Proceedings of the 10th International Workshop on Network on Chip Architectures. 2017, ACM: Cambridge, MA, USA. p. 1--6.
[2]
Ramirez, Gomez, and E. Roa. On UVM Reliability in Mixed-Signal Verification IEEE 10th (LASCAS). 2019.
[3]
Podivinsky, J., et al., Functional verification based platform for evaluating fault tolerance properties. Microprocessors and Microsystems, 2017. 52: p. 145--159.
[4]
Weissnegger, et al., SHARC - Simulation and Verification of Hierarchical Embedded Microelectronic Systems. Procedia Computer Science, 2017. 109: p. 392--399.
[5]
Caba, et al., Testing framework for on-board verification of HLS modules using grey-box technique and FPGA overlays. Integration, 2019. 68: p. 129--138.
[6]
Anwar, et al., Model-based design verification for embedded systems through SVOCL: an OCL extension for SystemVerilog. Design Automation for Embedded Systems, 2017. 21(1): p. 1--36.
[7]
Sharma, L. Bhargava, and V. Kumar. Automated Coverage Register Access Technology on UVM Framework for Advanced Verification. In 2018 IEEE (ISCAS).
[8]
Plasencia-Balabarca, et al. A Flexible UVM-Based Verification Framework Reusable with Avalon, AHB, AXI and Wishbone Bus Interfaces for an AES Encryption Module. IEEE Latin American Test Symposium (LATS). 2019.
[9]
Georgoulopoulos, Giannou, and A. Hatzopoulos. UVM-Based Verification of a Mixed-Signal Design Using SystemVerilog. In 2018 28th (PATMOS).
[10]
Wong, et al. VeriSFQ: A Semi-formal Verification Framework and Benchmark 20th Inter Symposium on Quality Electronic Design 2019.
[11]
Barros, Schulz, and D.V. Lettnin. An Adaptive Closed-Loop Verification Approach in UVM-SystemC for AMS Circuits in 2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI). 2018.
[12]
Hamed, et al. An Automated Lightweight UVM Tool. in 2018 30th International Conference on Microelectronics (ICM). 2018.
[13]
Khamis, et al. A Configurable RISC-V for NoC-Based MPSoCs: A Framework for Hardware Emulation. in 2018 11th International Workshop (NoCArc). 2018.
[14]
Salah, Mostafa. Constructing Effective UVM Testbench for DRAM Memory Controllers. in 2018 New Generation of CAS (NGCAS). 2018.
[15]
Moursi, et al. Different Reference Models for UVM Environment to Speed Up the Verification Time in 2018 19th International Workshop on Microprocessor and SOC Test and Verification (MTV). 2018.
[16]
Lohmann, et al. A Domain-specific Language for Automated Fault Injection in SystemC Models. 25th (ICECS). 2018.
[17]
El-Ashry, Adel. Efficient Methodology of Sampling UVM RAL during Simulation for SoC Functional Coverage in 2018 19th International Workshop on Microprocessor and SOC Test and Verification (MTV). 2018.
[18]
Lohmann, et al. Extending universal verification methodology with fault injection capabilities. In 2018 IEEE 9th (LASCAS). 2018.
[19]
Munir, et al. Fast Reliable Verification Methodology for RISC-V 19th International Workshop on Microprocessor and SOC Test and Verification (MTV). 2018.
[20]
Chinchole, Kinage. Functional Verification of Ten Gigabytes Media Independent Interface (XGMII) Using UVM in Fourth (ICCUBEA) 2018.
[21]
Kohútka Stopjaková. A New Hardware-Accelerated Scheduler for Soft Real-Time Tasks. In 8th (MECO) 2019.
[22]
Plasencia-Balabarca, F., et al. Robust Functional Verification Framework Based in UVM Applied to an AES Encryption Module. In 2018 New Generation of CAS (NGCAS). 2018.
[23]
Caratelli, et al. System Level simulation framework for the ASICs development of a novel particle physics detector in 2018 14th Conference on (PRIME)
[24]
Kakani, and Darji. Transactional Test Environment for Faster and Early Verification of Digital Designs. ICCED 2018.
[25]
V, B. and Sundari. UVM Based Testbench Architecture for Coverage Driven Functional Verification of SPI Protocol in (ICACCI). 2018.
[26]
Stoddard, A., et al. UVM Based Verification for HPSBC-FPGA of the Dream Chaser's Fault Tolerant Flight Computer. In 2019 IEEE Aerospace Conference. 2019.
[27]
Borchers,. Montenegro, and F. Dannemann. Volatile Register Handling for FPGA Verification Based on SVAs Incorporated into UVM Environments. IEEE Aerospace Conference. 2019.

Cited By

View all
  • (2024)The Blind Spots of Two-Factor Authentication ToolsProceedings of the Third International Conference on Innovations in Computing Research (ICR’24)10.1007/978-3-031-65522-7_50(580-592)Online publication date: 1-Aug-2024
  • (2022)A rigorous approach to microprocessor verification using UVMi-manager’s Journal on Electronics Engineering10.26634/jele.13.1.1934413:1(39)Online publication date: 2022

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Other conferences
ICSCA '20: Proceedings of the 2020 9th International Conference on Software and Computer Applications
February 2020
382 pages
ISBN:9781450376655
DOI:10.1145/3384544
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 17 April 2020

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. OVM
  2. UVM
  3. Universal Verification Methodology
  4. Verification
  5. embedded systems

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

ICSCA 2020

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)47
  • Downloads (Last 6 weeks)11
Reflects downloads up to 28 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)The Blind Spots of Two-Factor Authentication ToolsProceedings of the Third International Conference on Innovations in Computing Research (ICR’24)10.1007/978-3-031-65522-7_50(580-592)Online publication date: 1-Aug-2024
  • (2022)A rigorous approach to microprocessor verification using UVMi-manager’s Journal on Electronics Engineering10.26634/jele.13.1.1934413:1(39)Online publication date: 2022

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media