Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2380445.2380497acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

The system verification methodology for advanced TLM verification

Published: 07 October 2012 Publication History

Abstract

The IEEE-1800 SystemVerilog [20] system description and verification language integrates dedicated verification features, like constraint random stimulus generation and functional coverage, which are the building blocks of the Universal Verification Methodology (UVM)[3], the emerging standard for electronic systems verification. In this article, we introduce our System Verification Methodology (SVM) as a SystemC library for advanced Transaction Level Modeling (TLM) testbench implementation. As such, we first present SystemC libraries for the support of verification features like functional coverage and constrained random stimulus generation. Thereafter, we introduce the SVM with advanced TLM support based on SystemC and compare it to UVM and related approaches. Finally, we demonstrate the application of our SVM by means of a testbench for a two wheel self-balancing electric vehicle.

References

[1]
Aiger. http://fmv.jku.at/aiger/.
[2]
Accellera Organization, Inc. Open Verification Library (OVL), May 2009.
[3]
Accellera Organization, Inc. Universal Verification Methodology (UVM), May 2012.
[4]
J. Aynsley. OSCI TLM-2.0 LANGUAGE REFERENCE MANUAL. Open SystemC Initiative (OSCI), 2009.
[5]
J. Bergeron. Writing Testbenches: Functional Verification of HDL models. Kluwer Academic Publishers, 2003.
[6]
A. Biere. Picosat essentials. JSAT, 4(2--4):75--97, 2008.
[7]
R. Brummayer and A. Biere. Boolector: An efficient SMT solver for bit-vectors and arrays. In Tools and Algorithms for the Construction and Analysis of Systems, pages 174--177, 2009.
[8]
Cadence Design Systems, Inc. Open Verification Methodology Multi-Language (OVM-ML).
[9]
Cadence Design Systems, Inc. Universal Reuse Methodology (URM).
[10]
Cadence Design Systems, Inc. OVM-SC Library Reference Version 2.0.1, February, 2009.
[11]
L. de Moura and N. Bjørner. Z3: An efficient SMT solver. In TACAS, pages 337--340, 2008.
[12]
N. Eén and N. Sörensson. An extensible SAT-solver. In SAT, pages 502--518, 2003.
[13]
A. Erickson. Are OVM & UVM Macros Evil? A Cost-Benefit Analysis. In Proceeding of Design and Verification Conference (DVCON), Mar. 2011.
[14]
V. Esen. A new assertion language covering multiple levels of abstraction. PhD thesis, 2008.
[15]
H. Foster. Redefining Verification Performance (part 2), August 2010.
[16]
D. Große, R. Ebendt, and R. Drechsler. Improvements for constraint solving in the SystemC verification library. In ACM Great Lakes Symposium on VLSI, pages 493--496, 2007.
[17]
D. Große, R. Wille, R. Siegmund, and R. Drechsler. Contradiction analysis for constraint-based random simulation. In Forum on Specification and Design Languages, pages 130--135, 2008.
[18]
F. Haedicke, S. Frehse, G. Fey, D. Große, and R. Drechsler. metaSMT: Focus on your application not on solver integration. In DIFTS'11: 1st International workshop on design and implementation of formal tools and systems, pages 22--29, 2011.
[19]
F. Haedicke, H. M. Le, D. Große, and R. Drechsler. CRAVE: An advanced constrained random verification environment for SystemC. In International Symposium on System-on-Chip (SoC), 2012. Available at www.systemc-verification.org.
[20]
IEEE Computer Society. IEEE Standard for System Verilog-Unified Hardware Design, Specification, and Verification Language - IEEE 1800--2009. 2009.
[21]
IEEE Computer Society. IEEE 1666--2011 Standard SystemC Language Reference Manual. IEEE Std 1666--2011, 2011.
[22]
IEEE Computer Society. Standard for the Functional Verification Language e. IEEE Std 1647--2011 (Revision of IEEE Std 1647--2008), pages 1 --495, 26 2011.
[23]
JEDA Technologies, Inc. JEDA ESL Validation Solution.
[24]
H. Kim, H. Jin, K. Ravi, P. Spacek, J. Pierce, B. Kurshan, and F. Somenzi. Application of formal word-level analysis to constrained random simulation. In Computer Aided Verification, 2008.
[25]
N. Kitchen and A. Kuehlmann. Stimulus generation for constrainted random simulation. In International Conference on Computer-Aided Design, pages 258--265, 2007.
[26]
C. Kuznik and W. Müller. Functional Coverage-driven Verification with SystemC on Multiple Level of Abstraction. Proceedings of DVCON, 2011.
[27]
W. K. Lam. Hardware Design Verification: Simulation and Formal Method-Based Approaches (Prentice Hall Modern Semiconductor Design Series). Prentice Hall PTR, Upper Saddle River, NJ, USA, 2005.
[28]
Mentor Graphics, Inc. Advanced Verification Methodology (AVM).
[29]
NextOp Software, Inc. NextOp assertion-based verification.
[30]
M. F. S. Oliveira, C. Kuznik, W. Mueller, W. Ecker, and V. Esen. A SystemC Library for Advanced TLM Verification. In Proceeding of Design and Verification Conference (DVCON), Mar. 2012.
[31]
Open SystemC Initiative. SystemC Verification Library v1.0p2, 2006.
[32]
S. M. Plaza, I. L. Markov, and V. Bertacco. Random stimulus generation using entropy and XOR constraints. In Design, Automation and Test in Europe, pages 664--669, 2008.
[33]
K. Schwartz. A technique for adding functional coverage to SystemC. In DVCON 2007. Willamette HDL Inc., 2007.
[34]
R. Siegmund, U. Hensel, A. Herrholz, and I. Volt. A functional coverage prototype for SystemC-based verification of chipset designs. In 9th European SystemC User Group Meeting at Design, Automation and Test in Europe, 2004.
[35]
F. Somenzi. CUDD: CU Decision Diagram Package Release 2.4.1. University of Colorado at Boulder, 2009.
[36]
R. Wille, G. Fey, D. Große, S. Eggersglüß, and R. Drechsler. Sword: A SAT like prover using word level information. In VLSI of System-on-Chip, pages 88--93, 2007.
[37]
R. Wille, D. Große, F. Haedicke, and R. Drechsler. SMT-based stimuli generation in the SystemC verification library. In Forum on Specification and Design Languages, pages 1--6, 2009.

Cited By

View all
  • (2024)Hardware and Environment ModelingFormal and Practical Techniques for the Complex System Design Process using Virtual Prototypes10.1007/978-3-031-51692-4_3(21-106)Online publication date: 26-Mar-2024
  • (2023)Register-Transfer-Ebene KorrespondenzanalyseVerbessertes virtuelles Prototyping10.1007/978-3-031-18174-0_8(221-248)Online publication date: 1-Jan-2023
  • (2021)IntroSpectreProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00073(874-887)Online publication date: 14-Jun-2021
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
CODES+ISSS '12: Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
October 2012
596 pages
ISBN:9781450314268
DOI:10.1145/2380445
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 07 October 2012

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. constrained random stimulus generation
  2. functional coverage
  3. systemc
  4. systemverilog
  5. uvm

Qualifiers

  • Research-article

Conference

ESWEEK'12
ESWEEK'12: Eighth Embedded System Week
October 7 - 12, 2012
Tampere, Finland

Acceptance Rates

CODES+ISSS '12 Paper Acceptance Rate 48 of 163 submissions, 29%;
Overall Acceptance Rate 280 of 864 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)12
  • Downloads (Last 6 weeks)2
Reflects downloads up to 28 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Hardware and Environment ModelingFormal and Practical Techniques for the Complex System Design Process using Virtual Prototypes10.1007/978-3-031-51692-4_3(21-106)Online publication date: 26-Mar-2024
  • (2023)Register-Transfer-Ebene KorrespondenzanalyseVerbessertes virtuelles Prototyping10.1007/978-3-031-18174-0_8(221-248)Online publication date: 1-Jan-2023
  • (2021)IntroSpectreProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00073(874-887)Online publication date: 14-Jun-2021
  • (2021)High Quality Design-Verification of APB based Switch Interface2021 Fourth International Conference on Microelectronics, Signals & Systems (ICMSS)10.1109/ICMSS53060.2021.9673640(1-6)Online publication date: 18-Nov-2021
  • (2019)A Framework for RFI Simulation and Performance Verification2019 RFI Workshop - Coexisting with Radio Frequency Interference (RFI)10.23919/RFI48793.2019.9111822(1-6)Online publication date: Sep-2019
  • (2018)Towards fully automated TLM-to-RTL property refinement2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2018.8342253(1508-1511)Online publication date: Mar-2018
  • (2018)Constructing Effective UVM Testbench for DRAM Memory Controllers2018 New Generation of CAS (NGCAS)10.1109/NGCAS.2018.8572135(178-181)Online publication date: Nov-2018
  • (2017)Verifying next generation electronic systems2017 International Conference on Infocom Technologies and Unmanned Systems (Trends and Future Directions) (ICTUS)10.1109/ICTUS.2017.8285965(6-10)Online publication date: Dec-2017
  • (2016)Efficient monitoring of loose-ordering properties for SystemC/TLMProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2971938(559-562)Online publication date: 14-Mar-2016
  • (2016)New Trends in SoC Verification: UVM, Bug Localization, Scan-C0068ain-Based Methodology, GA-Based Test GenerationIP Cores Design from Specifications to Production10.1007/978-3-319-22035-2_6(121-152)Online publication date: 2016
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media