Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

Design automation for application-specific on-chip interconnects

Published: 01 January 2016 Publication History

Abstract

On-chip interconnects provide a vital facility for highly parallel MultiProcessor Systems-on-Chip, particularly in data-intensive applications, where the choice of the underlying communication architecture, tailored on the particular application requirements, is critical to the global performance. This survey focuses on the design automation of a broad class of communication architectures, here referred to as structured on-chip interconnects, the predominant choice in most real-world systems. Such interconnects benefit from well-established standards, CAD compatibility, predictable performance, and are highly scalable for many types of applications. However, in spite of their importance for current MPSoCs and their recent technology advancements, the design methodologies for structured on-chip interconnects have never been exhaustively surveyed so far, unlike application-oblivious interconnect solutions like Networks-on-Chip. The essential aim of this paper is to fill this gap by presenting an extensive review of state-of-the-art design automation techniques for application-specific on-chip interconnects. The paper goes through the main options available for building different on-chip interconnect topologies, discussing the details of hierarchical buses, crossbars, and cascaded crossbars as well as the approaches that can be adopted to formalize the description of such topologies and the related parameters of interest. Then, the paper surveys the most relevant techniques proposed in the literature to analyze a given interconnect solution, i.e. quantify parameters such as latency, bandwidth, area cost, power consumption, operating frequency, followed by an in-depth review of the main approaches for interconnect synthesis, including several advanced aspects such as co-synthesis of memory and communication architectures, joint scheduling and interconnect synthesis, floorplanning, dynamic configuration, multi-path communication. After presenting the above approaches, the paper discusses the potential impact that the body of research in the area of on-chip interconnects may have on current trends and emerging interconnect technologies.

References

[1]
W. Wolf, A.A. Jerraya, G. Martin, Multiprocessor system-on-chip (mpsoc) technology, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 27 (2008) 1701-1713.
[2]
S. Borkar, Thousand core chips: a technology perspective, in: Proceedings of the 44th Annual Design Automation Conference, ACM, 2007, pp. 746-749.
[3]
K. Keutzer, A.R. Newton, J.M. Rabaey, A. Sangiovanni-Vincentelli, System-level design, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 19 (2000) 1523-1543.
[4]
W. Wolf, The future of multiprocessor systems-on-chips, in: Proceedings of the 41st annual Design Automation Conference, ACM, 2004, pp. 681-685.
[5]
P. Guerrier, A. Greiner, A generic architecture for on-chip packet-switched interconnections, in: Proceedings of the Conference on Design, Automation and Test in Europe, ACM, 2000, pp. 250-256.
[6]
W.J. Dally, B. Towles, Route packets, not wires: on-chip interconnection networks, in: Proceedings of Design Automation Conference, 2001, IEEE, 2001, pp. 684-689.
[7]
L. Benini, G. de Micheli, Networks on chips, Computer, 35 (2002) 70-78.
[8]
S. Pasricha, N. Dutt, Morgan Kaufmann Publishers Inc. San Francisco, CA, USA, 2010.
[9]
ARM, AMBA Specification (Rev 2.0), 1999.
[10]
IBM, CoreConnect Interconnect standard, 2012. {http://www.ibm.com}
[11]
M. Jun, K. Bang, H.-J. Lee, N. Chang, E.-Y. Chung, Slack-based bus arbitration scheme for soft real-time constrained embedded systems, in: Design Automation Conference, 2007. ASP-DAC'07. Asia and South Pacific, IEEE, 2007, pp. 159-164.
[12]
K. Lahiri, A. Raghunathan, G. Lakshminarayana, The lotterybus on-chip communication architecture, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 14 (2006) 596-608.
[13]
B.-C. Lin, G.-W. Lee, J.-D. Huang, J.-Y. Jou, A precise bandwidth control arbitration algorithm for hard real-time soc buses, in: Design Automation Conference, 2007. ASP-DAC'07. Asia and South Pacific, IEEE, 2007, pp. 165-170.
[14]
H.-K. Peng, Y.-L. Lin, An optimal warning-zone-length assignment algorithm for real-time and multiple-qos on-chip bus arbitration, ACM Trans. Embed. Comput. Syst. (TECS), 9 (2010) 35.
[15]
C.H. Pyoun, C.H. Lin, H.S. Kim, J.W. Chong, The efficient bus arbitration scheme in soc environment, in: Proceedings of The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003, IEEE, 2003, pp. 311-315.
[16]
ARM, AMBA AXI and ACE Protocol Specification, 2013.
[17]
STMicroelectronics, STBus Communication System: Concepts And Definitions, 2007.
[18]
M. Loghi, F. Angiolini, D. Bertozzi, L. Benini, R. Zafalon, Analyzing on-chip communication in a mpsoc environment, in: Proceedings of the Conference on Design, Automation and Test in Europe, vol. 2, IEEE Computer Society, 2004, p. 20752.
[19]
K.K. Ryu, E. Shin, V.J. Mooney, A comparison of five different multiprocessor soc bus architectures, in: Proceedings of Euromicro Symposium on Digital Systems Design 2001, IEEE, 2001, pp. 202-209.
[20]
J.Y. Hur, T. Stefanov, S. Wong, S. Vassiliadis, Systematic customization of on-chip crossbar interconnects, in: Reconfigurable Computing: Architectures, Tools and Applications, Springer, 2007, pp. 61-72.
[21]
M. Jun, S. Yoo, E.-Y. Chung, Mixed integer linear programming-based optimal topology synthesis of cascaded crossbar switches, in: Design Automation Conference, 2008. ASPDAC 2008. Asia and South Pacific, IEEE, 2008, pp. 583-588.
[22]
M. Jun, S. Yoo, E.-Y. Chung, Topology synthesis of cascaded crossbar switches, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 28 (2009) 926-930.
[23]
S. Murali, G. De Micheli, An application-specific design methodology for stbus crossbar generation, in: Proceedings of Design, Automation and Test in Europe, 2005, IEEE, 2005, pp. 1176-1181.
[24]
S. Pasricha, N.D. Dutt, M. Ben-Romdhane, Bmsyn, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 26 (2007) 1454-1464.
[25]
S. Pasricha, N.D. Dutt, A framework for cosynthesis of memory and communication architectures for mpsoc, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 26 (2007) 408-420.
[26]
J. Yoo, D. Lee, S. Yoo, K. Choi, Communication architecture synthesis of cascaded bus matrix, in: Design Automation Conference, 2007. ASP-DAC'07. Asia and South Pacific, IEEE, 2007, pp. 171-177.
[27]
Y. Jang, J. Kim, C.-M. Kyung, Topology synthesis for low power cascaded crossbar switches, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 29 (2010) 2041-2045.
[28]
S. Murali, L. Benini, G. de Micheli, An application-specific design methodology for on-chip crossbar generation, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 26 (2007) 1283-1296.
[29]
S. Na, S. Yang, C.-M. Kyung, Low-power bus architecture composition for amba axi, J. Semicond. Technol. Sci., 9 (2009) 1.
[30]
J.Y. Hur, T. Stefanov, S. Wong, K. Goossens, Customisation of on-chip network interconnects and experiments in field-programmable gate arrays, IET Comput. Digit. Tech., 6 (2012) 59-68.
[31]
Y.-P. Joo, S. Kim, S. Ha, Efficient hierarchical bus-matrix architecture exploration of processor pool-based mpsoc, Des. Autom. Embed. Syst., 16 (2012) 293-317.
[32]
M. Jun, D. Woo, E.-Y. Chung, Partial connection-aware topology synthesis for on-chip cascaded crossbar network, IEEE Trans. Comput., 61 (2012) 73-86.
[33]
J. Yoo, S. Yoo, K. Choi, Topology/floorplan/pipeline co-design of cascaded crossbar bus, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 17 (2009) 1034-1047.
[34]
G. de Micheli, L. Benini, Morgan Kaufmann Publishers Inc. San FranciscoA, CA, USA, 2006.
[35]
D. Atienza, F. Angiolini, S. Murali, A. Pullini, L. Benini, G. de Micheli, Network-on-chip design and synthesis outlook, INTEGRATION, the VLSI J., 41 (2008) 340-359.
[36]
E. Salminen, A. Kulmala, T.D. Hamalainen, On network-on-chip comparison, in: Digital System Design Architectures, Methods and Tools, 2007. DSD 2007, IEEE, 2007, pp. 503-510.
[37]
E. Salminen, A. Kulmala, T.D. Hamalainen, Survey of network-on-chip proposals, white paper, in: OCP-IP, 2008, pp. 1-13.
[38]
N.K. Bambha, S.S. Bhattacharyya, Joint application mapping/interconnect synthesis techniques for embedded chip-scale multiprocessors, IEEE Trans. Parallel Distrib. Syst., 16 (2005) 99-112.
[39]
A. Cilardo, E. Fusella, L. Gallo, A. Mazzeo, Automated synthesis of fpga-based heterogeneous interconnect topologies, in: 2013 23rd International Conference on Field Programmable Logic and Applications (FPL), IEEE, 2013, pp. 1-8.
[40]
A. Cilardo, E. Fusella, L. Gallo, A. Mazzeo, Exploiting concurrency for the automated synthesis of mpsoc interconnects, ACM Trans. Embed. Comput. Syst. (TECS), 14 (2015) 57.
[41]
M. Drinic, D. Kirovski, S. Megerian, M. Potkonjak, Latency-guided on-chip bus-network design, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 25 (2006) 2663-2673.
[42]
O. Goren, Y. Netanel, High performance on-chip interconnect system supporting fast soc generation, in: 2006 International Symposium on VLSI Design, Automation and Test, IEEE, 2006, pp. 1-4.
[43]
I. Issenin, E. Brockmeyer, B. Durinck, N.D. Dutt, Data-reuse-driven energy-aware cosynthesis of scratch pad memory and hierarchical bus-based communication architecture for multiprocessor streaming applications, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 27 (2008) 1439-1452.
[44]
S. Kim, S. Ha, Efficient exploration of bus-based system-on-chip architectures, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 14 (2006) 681-692.
[45]
K. Lahiri, A. Raghunathan, S. Dey, Design space exploration for optimizing on-chip communication architectures, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 23 (2004) 952-961.
[46]
C. Lee, S. Kim, S. Ha, A systematic design space exploration of mpsoc based on synchronous data flow specification, J. Signal Process. Syst., 58 (2010) 193-213.
[47]
B.H. Meyer, D.E. Thomas, Simultaneous synthesis of buses, data mapping and memory allocation for mpsoc, in: Proceedings of the 5th IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis, ACM, 2007, pp. 3-8.
[48]
S. Pandey, M. Glesner, Simultaneous on-chip bus synthesis and voltage scaling under random on-chip data traffic, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 15 (2007) 1111-1124.
[49]
A. Papanikolaou, K. Koppenberger, M. Miranda, F. Catthoor, Memory communication network exploration for low-power distributed memory organisations, in: IEEE Workshop on Signal Processing Systems, 2004. SIPS 2004., IEEE, 2004, pp. 176-181.
[50]
S. Pasricha, N.D. Dutt, E. Bozorgzadeh, M. Ben-Romdhane, Fabsyn, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 14 (2006) 241-253.
[51]
S. Pasricha, Y.-H. Park, F.J. Kurdahi, N. Dutt, Capps, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 18 (2010) 209-221.
[52]
K.K. Ryu, V.J. Mooney, Automated bus generation for multiprocessor soc design, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 23 (2004) 1531-1549.
[53]
K. Sekar, K. Lahiri, A. Raghunathan, S. Dey, Dynamically configurable bus topologies for high-performance on-chip communication, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 16 (2008) 1413-1426.
[54]
S. Srinivasan, F. Angiolini, M. Ruggiero, L. Benini, N. Vijaykrishnan, Simultaneous memory and bus partitioning for soc architectures, in: Proceedings of SOC Conference, 2005. IEEE International, IEEE, 2005, pp. 125-128.
[55]
N. Thepayasuwan, A. Doboli, Layout conscious bus architecture synthesis for deep submicron systems on chip, in: Proceedings of Design, Automation and Test in Europe Conference and Exhibition, 2004, Vol. 1, IEEE, 2004, pp. 108-113.
[56]
C.Q. Xu, C.J. Xue, Y. He, E.H. Sha, Energy efficient joint scheduling and multi-core interconnect design, in: Proceedings of the 2010 Asia and South Pacific Design Automation Conference, IEEE Press, 2010, pp. 879-884.
[57]
J.D. Owens, W.J. Dally, R. Ho, D. Jayasimha, S.W. Keckler, L.-S. Peh, Research challenges for on-chip interconnection networks, IEEE Micro, 27 (2007) 96.
[58]
A. Agarwal, C. Iskander, R. Shankar, Survey of network on chip (noc) architectures & contributions, J. Eng. Comput. Archit., 3 (2009) 21-27.
[59]
T. Bjerregaard, S. Mahadevan, A survey of research and practices of network-on-chip, ACM Comput. Surv. (CSUR), 38 (2006) 1.
[60]
J. Henkel, W. Wolf, S. Chakradhar, On-chip networks: a scalable, communication-centric embedded system design paradigm, in: Proceedings of 17th International Conference on VLSI Design, 2004, IEEE, 2004, pp. 845-851.
[61]
F. Angiolini, P. Meloni, S. Carta, L. Benini, L. Raffo, Contrasting a noc and a traditional interconnect fabric with layout awareness, in: Proceedings of the Conference on Design, Automation and Test in Europe: Proceedings, European Design and Automation Association, 2006, pp. 124-129.
[62]
U.Y. Ogras, J. Hu, R. Marculescu, Key research problems in noc design: a holistic perspective, in: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, ACM, 2005, pp. 69-74.
[63]
P. Wielage, K. Goossens, Networks on silicon: blessing or nightmare? in: Proceedings of Euromicro Symposium on Digital System Design, 2002, IEEE, 2002, pp. 196-200.
[64]
S. Kamil, L. Oliker, A. Pinar, J. Shalf, Communication requirements and interconnect optimization for high-end scientific applications, IEEE Trans. Parallel Distrib. Syst., 21 (2010) 188-202.
[65]
J.S. Vetter, F. Mueller, Communication characteristics of large-scale scientific applications for contemporary cluster architectures, J. Parallel Distrib. Comput., 63 (2003) 853-865.
[66]
V. Lahtinen, E. Salminen, K. Kuusilinna, T. Hamalainen, Comparison of synthesized bus and crossbar interconnection architectures, in: Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS'03, vol. 5, IEEE, 2003, pp. V-433.
[67]
D.M. Chapiro, Globally-asynchronous locally-synchronous systems (Ph.D. thesis). Stanford University 1, 1984, 50.
[68]
J. Muttersbach, T. Villiger, W. Fichtner, Practical design of globally-asynchronous locally-synchronous systems, in: Proceedings of Sixth International Symposium on Advanced Research in Asynchronous Circuits and Systems, 2000(ASYNC 2000), IEEE, 2000, pp. 52-59.
[69]
U.Y. Ogras, R. Marculescu, P. Choudhary, D. Marculescu, Voltage-frequency island partitioning for gals-based networks-on-chip, in: Design Automation Conference, 2007. DAC'07. 44th ACM/IEEE, IEEE, 2007, pp. 110-115.
[70]
U.Y. Ogras, R. Marculescu, D. Marculescu, E.G. Jung, Design and management of voltage-frequency island partitioned networks-on-chip, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 17 (2009) 330-341.
[71]
E.B. Van Der Tol, E.G. Jaspers, Mapping of mpeg-4 decoding on a flexible architecture platform, in: Electronic Imaging 2002, International Society for Optics and Photonics, 2001, pp. 1-13.
[72]
S. Srinivasan, L. Li, N. Vijaykrishnan, Simultaneous partitioning and frequency assignment for on-chip bus architectures, in: Proceedings of Design, Automation and Test in Europe, vol. 1, 2005, pp. 218-223.
[73]
P. Liljeberg, J. Plosila, J. Isoaho, Self-timed ring architecture for soc applications, in: SOC Conference, 2003. Proceedings. IEEE International Systems-on-Chip, IEEE, 2003, pp. 359-362.
[74]
R. Ho, K.W. Mai, M.A. Horowitz, The future of wires, Proc. IEEE 89 (4) (2001) 490-504.
[75]
H. Shah, P. Shiu, B. Bell, M. Aldredge, N. Sopory, J. Davis, Repeater insertion and wire sizing optimization for throughput-centric vlsi global interconnects, in: Proceedings of the 2002 IEEE/ACM International Conference on Computer-Aided Design, ACM, 2002, pp. 280-284.
[76]
N. Kirman, M. Kirman, R.K. Dokania, J.F. Martinez, A.B. Apsel, M.A. Watkins, D.H. Albonesi, On-chip optical technology in future bus-based multicore designs, IEEE Micro, 27 (2007) 56-66.
[77]
A. Cilardo, E. Fusella, L. Gallo, A. Mazzeo, Joint communication scheduling and interconnect synthesis for fpga-based many-core systems, in: Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, IEEE, 2014, pp. 1-4.
[78]
P.P. Pande, C. Grecu, M. Jones, A. Ivanov, R. Saleh, Performance evaluation and design trade-offs for network-on-chip interconnect architectures, IEEE Trans. Comput., 54 (2005) 1025-1040.
[79]
P.P. Pande, C. Grecu, M. Jones, A. Ivanov, R. Saleh, Effect of traffic localization on energy dissipation in noc-based interconnect, in: IEEE International Symposium on Circuits and Systems, 2005, ISCAS 2005, IEEE, 2005, pp. 1774-1777.
[80]
A. Cilardo, E. Fusella, L. Gallo, A. Mazzeo, N. Mazzocca, Automated design space exploration for fpga-based heterogeneous interconnects, Des. Autom. Embed. Syst. (2014) 1-14.
[81]
J.Y. Hur, S. Wong, T. Stefanov, Design trade-offs in customized on-chip crossbar schedulers, J. Signal Process. Syst., 58 (2010) 69-85.
[82]
Xilinx, Zynq-7000 All Programmable SoC Overview, 2012.
[83]
J. Suh, H.-J. Yoo, Arbitration latency analysis of the shared channel architecture for high performance multi-master soc, in: Proceedings of 2004 IEEE Asia-Pacific Conference on Advanced System Integrated Circuits 2004, IEEE, 2004, pp. 388-391.
[84]
C.C. Iancu, E. Strohmaier, Optimizing communication overlap for high-speed networks, in: Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, ACM, 2007, pp. 35-45.
[85]
R. Lu, A. Cao, C.-K. Koh, Samba-bus, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 15 (2007) 69-79.
[86]
The ITRS Technology Working Groups, International Technology Roadmap for Semiconductors (ITRS), 2005. {http://www.public.itrs.net}
[87]
H. Esmaeilzadeh, E. Blem, R. St Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in: 2011 38th Annual International Symposium on Computer Architecture (ISCA), IEEE, 2011, pp. 365-376.
[88]
Xilinx, XPower Estimator User Guide, 2012.
[89]
S.M. Martin, K. Flautner, T. Mudge, D. Blaauw, Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads, in: Proceedings of the 2002 IEEE/ACM International Conference on Computer-Aided Design, ACM, 2002, pp. 721-725.
[90]
ARM, Amba design kit, 2012. {http://www.arm.com}
[91]
Xilinx, PlanAhead User Guide (v14.6), 2013.
[92]
N. Muralimanohar, R. Balasubramonian, N.P. Jouppi, Cacti 6.0: a tool to model large caches, HP Laboratories.
[93]
S. Li, K. Chen, J.H. Ahn, J.B. Brockman, N.P. Jouppi, Cacti-p: architecture-level modeling for sram-based structures with advanced leakage reduction techniques, in: 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), IEEE, 2011, pp. 694-701.
[94]
S. Kim, C. Im, S. Ha, Schedule-aware performance estimation of communication architecture for efficient design space exploration, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 13 (2005) 539-552.
[95]
S. Pandey, R. Drechsler, Robust on-chip bus architecture synthesis for mpsocs under random tasks arrival, in: Proceedings of the 2008 Asia and South Pacific Design Automation Conference, IEEE Computer Society Press, 2008, pp. 601-606.
[96]
U.Y. Ogras, P. Bogdan, R. Marculescu, An analytical approach for network-on-chip performance analysis, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 29 (2010) 2001-2013.
[97]
G. Varatkar, R. Marculescu, Traffic analysis for on-chip networks design of multimedia applications, in: Proceedings of 39th Design Automation Conference, 2002, IEEE, 2002, pp. 795-800.
[98]
T.G.S. Liao, G. Martin, S. Swan, T. Grötker, Springer, New York, NY, USA, 2002.
[99]
S. Pasricha, N. Dutt, M. Ben-Romdhane, Fast exploration of bus-based on-chip communication architectures, in: Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, ACM, 2004, pp. 242-247.
[100]
J. Banks, J. Carson, B. Nelson, D.M. Nicol, Prentice-Hall, Upper Saddle River, NJ, 2000.
[101]
W.H. Wolf, Hardware-software co-design of embedded systems and prolog, Proc. IEEE 82 (7) (1994) 967-989.
[102]
Y. Yi, D. Kim, S. Ha, Fast and accurate cosimulation of mpsoc using trace-driven virtual synchronization, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 26 (2007) 2186-2200.
[103]
Synopsys, PrimeTime Golden Timing Signoff Solution and Environment, 2012.
[104]
K. Lahiri, A. Raghunathan, S. Dey, System-level performance analysis for designing on-chip communication architectures, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 20 (2001) 768-783.
[105]
Y. Nesterov, A. Nemirovskii, Y. Ye, Interior-point polynomial algorithms in convex programming, vol. 13, SIAM, 1994.
[106]
K.-H. Han, J.-H. Kim, Quantum-inspired evolutionary algorithm for a class of combinatorial optimization, IEEE Trans. Evol. Comput., 6 (2002) 580-593.
[107]
J.-M. Daveau, T.B. Ismail, A.A. Jerraya, Synthesis of system-level communication by an allocation-based approach, in: Proceedings of the 8th International Symposium on System Synthesis, ACM, 1995, pp. 150-155.
[108]
S. Wuytack, F. Catthoor, G. de Jong, H.J. de Man, Minimizing the required memory bandwidth in vlsi system realizations, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 7 (1999) 433-441.
[109]
P.V. Knudsen, J. Madsen, Integrating communication protocol selection with hardware/software codesign, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 18 (1999) 1077-1095.
[110]
S. Meftali, F. Gharsalli, F. Rousseau, A.A. Jerraya, An optimal memory allocation for application-specific multiprocessor system-on-chip, in: Proceedings of the 14th International Symposium on Systems Synthesis, ACM, 2001, pp. 19-24.
[111]
D. Keitel-Schulz, N. Wehn, Embedded dram development, IEEE Des. Test, 18 (2001) 7-15.
[112]
P.R. Panda, N.D. Dutt, A. Nicolau, Springer, New York, NY, USA, 1999.
[113]
F. Catthoor, E.d. Greef, S. Suytack, Kluwer Academic Publishers Norwell, MA, 1998.
[114]
L. Benini, L. Macchiarulo, A. Macii, M. Poncino, Layout-driven memory synthesis for embedded systems-on-chip, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 10 (2002) 96-105.
[115]
G.B. Bezerra, S. Forrest, P. Zarkesh-Ha, Reducing energy and increasing performance with traffic optimization in many-core systems, in: Proceedings of the System Level Interconnect Prediction Workshop, IEEE Press, 2011, p. 3.
[116]
M.R. Garey, D.S. Johnson, W. H. Freeman & Co. New York, NY, USA, 1979.
[117]
J. Cong, Y. Huang, B. Yuan, Atree-based topology synthesis for on-chip network, in: 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), IEEE, 2011, pp. 651-658.
[118]
M. Gasteier, M. Glesner, Bus-based communication synthesis on system level, ACM Trans. Des. Autom. Electron. Syst., 4 (1999) 1-11.
[119]
L.-T. Wang, Y.-W. Chang, K.-T.T. Cheng, Morgan Kaufmann Publishers Inc. San Francisco, CA, USA, 2009.
[120]
A.E. Caldwell, A.B. Kahng, S. Mantik, I.L. Markov, A. Zelikovsky, On wirelength estimations for row-based placement, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 18 (1999) 1265-1278.
[121]
N.A. Sherwani, Kluwer Academic Publishers Norwell, MA, 1995.
[122]
S.N. Adya, I.L. Markov, Fixed-outline floorplanning, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 11 (2003) 1120-1135.
[123]
R. Marculescu, U.Y. Ogras, L.-S. Peh, N.E. Jerger, Y. Hoskote, Outstanding research problems in noc design, IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., 28 (2009) 3-21.
[124]
S. Murali, G. De Micheli, Bandwidth-constrained mapping of cores onto noc architectures, in: Proceedings of the Conference on Design, Automation and Test in Europe, vol. 2, IEEE Computer Society, 2004, p. 20896.
[125]
D. Bertozzi, A. Jalabert, S. Murali, R. Tamhankar, S. Stergiou, L. Benini, G. de Micheli, Noc synthesis flow for customized domain specific multiprocessor systems-on-chip, IEEE Trans. Parallel Distrib. Syst., 16 (2005) 113-129.
[126]
Y. Hu, Y. Zhu, H. Chen, R. Graham, C.-K. Cheng, Communication latency aware low power noc synthesis, in: Proceedings of the 43rd Annual Design Automation Conference, ACM, 2006, pp. 574-579.
[127]
P. Lysaght, B. Blodget, J. Mason, J. Young, B. Bridgford, Invited paper: Enhanced architectures, design methodologies and cad tools for dynamic reconfiguration of xilinx fpgas, in: International Conference on Field Programmable Logic and Applications, 2006. FPL'06, IEEE, 2006, pp. 1-6.
[128]
M. Liu, Z. Lu, W. Kuehn, A. Jantsch, A survey of fpga dynamic reconfiguration design methodology and applications, Int. J. Embed. Real-Time Commun. Syst. (IJERTCS), 3 (2012) 23-39.
[129]
S. Vassiliadis, I. Sourdis, {FLUX} interconnection networks on demand, J. Syst. Archit., 53 (2007) 777-793.
[130]
K. Kambatla, G. Kollias, V. Kumar, A. Grama, Trends in big data analytics, J. Parallel Distrib. Comput., 74 (2014) 2561-2573.
[131]
G. Chen, H. Chen, M. Haurylau, N. Nelson, D.H. Albonesi, P.M. Fauchet, E.G. Friedman, et al., On-chip copper-based vs. optical interconnects: delay uncertainty, latency, power, and bandwidth density comparative predictions, in: Interconnect Technology Conference, 2006 International, IEEE, 2006, pp. 39-41.
[132]
R. Ramaswami, K. Sivarajan, G. Sasaki, Morgan Kaufmann Publishers Inc. San Francisco, CA, USA, 2009.
[133]
K. Bergman, L.P. Carloni, A. Biberman, J. Chan, G. Hendry, Springer, 2013.
[134]
L.P. Carloni, P. Pande, Y. Xie, Networks-on-chip in emerging interconnect paradigms: advantages and challenges, in: Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, IEEE Press Piscataway, NJ, USA, 2009, pp. 93-102.
[135]
C. Gunn, Cmos photonics for high-speed interconnects, IEEE Micro, 26 (2006) 58-66.
[136]
CORNING, INTEL, White Paper: Corning Clearcurve lx Fiber and the Corning mxc Connector, Technical Report (08 2013).
[137]
M. Zuffada, The industrialization of the silicon photonics: technology road map and applications, in: 2012 Proceedings of the European Solid-State Device Research Conference (ESSDERC), IEEE, 2012, pp. 7-13.
[138]
MONA a European roadmap for photonics and nanotechnologies, accessed: 2015-06-01. {http://www.ist-mona.org/home.asp}
[139]
N. Kirman, M. Kirman, R.K. Dokania, J.F. Martinez, A.B. Apsel, M.A. Watkins, D.H. Albonesi, Leveraging optical technology in future bus-based chip multiprocessors, in: Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, IEEE Computer Society, 2006, pp. 492-503.
[140]
S. Pasricha, N. Dutt, Orb: an on-chip optical ring bus communication architecture for multi-processor systems-on-chip, in: Proceedings of the 2008 Asia and South Pacific Design Automation Conference, IEEE Computer Society Press, 2008, pp. 789-794.
[141]
D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N.P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R.G. Beausoleil, J.H. Ahn, Computer architecture news, in: Corona: System Implications of Emerging Nanophotonic Technology, 36, IEEE Press Piscataway, NJ, USA, 2008, pp. 153-164.
[142]
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, A. Choudhary, Computer architecture news, in: Firefly: Illuminating Future Network-on-Chip with Nanophotonics, 37, ACM, 2009, pp. 429-440.
[143]
Y. Pan, J. Kim, G. Memik, Flexishare: channel sharing for an energy-efficient nanophotonic crossbar, in: 2010 IEEE 16th International Symposium on High Performance Computer Architecture (HPCA), IEEE, 2010, pp. 1-12.
[144]
S. Bahirat, S. Pasricha, Meteor, ACM Trans. Embed. Comput. Syst. (TECS), 13 (2014) 116.

Cited By

View all
  • (2022)PathTracer: Understanding Response Time of Signal Processing Applications on Heterogeneous MPSoCsACM Transactions on Modeling and Performance Evaluation of Computing Systems10.1145/35130036:4(1-30)Online publication date: 1-Apr-2022
  • (2021)Performance Analysis of Optad-NoC: A Novel Optimized Routing Algorithm and Intelligent Router for 3D Network-on-ChipWireless Personal Communications: An International Journal10.1007/s11277-021-08834-0121:4(2511-2528)Online publication date: 1-Dec-2021
  • (2018)Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic InterconnectsACM Journal on Emerging Technologies in Computing Systems10.1145/317845314:2(1-19)Online publication date: 12-Jul-2018
  • Show More Cited By
  1. Design automation for application-specific on-chip interconnects

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image Integration, the VLSI Journal
    Integration, the VLSI Journal  Volume 52, Issue C
    January 2016
    380 pages

    Publisher

    Elsevier Science Publishers B. V.

    Netherlands

    Publication History

    Published: 01 January 2016

    Author Tags

    1. Design automation
    2. Embedded systems
    3. On-chip interconnects
    4. Systems-on-chip
    5. Wires

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 01 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)PathTracer: Understanding Response Time of Signal Processing Applications on Heterogeneous MPSoCsACM Transactions on Modeling and Performance Evaluation of Computing Systems10.1145/35130036:4(1-30)Online publication date: 1-Apr-2022
    • (2021)Performance Analysis of Optad-NoC: A Novel Optimized Routing Algorithm and Intelligent Router for 3D Network-on-ChipWireless Personal Communications: An International Journal10.1007/s11277-021-08834-0121:4(2511-2528)Online publication date: 1-Dec-2021
    • (2018)Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic InterconnectsACM Journal on Emerging Technologies in Computing Systems10.1145/317845314:2(1-19)Online publication date: 12-Jul-2018
    • (2018)Reducing Power Consumption of Lasers in Photonic NoCs through Application-Specific MappingACM Journal on Emerging Technologies in Computing Systems10.1145/317346314:2(1-11)Online publication date: 12-Jul-2018
    • (2017)H2ONoCIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.258148625:1(330-343)Online publication date: 1-Jan-2017
    • (2017)Path Setup for Hybrid NoC Architectures Exploiting Flooding and StandbyIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2016.262226528:5(1403-1416)Online publication date: 1-May-2017
    • (2017)Application mapping to mesh NoCs using a Tabu-search based swarm optimizationMicroprocessors & Microsystems10.1016/j.micpro.2017.09.00455:C(13-25)Online publication date: 1-Nov-2017
    • (2017)A heuristic clustering approach to use case-aware application-specific network-on-chip synthesisThe Journal of Supercomputing10.1007/s11227-016-1905-673:5(2098-2129)Online publication date: 1-May-2017
    • (2016)PhoNoCMapProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2971874(289-292)Online publication date: 14-Mar-2016
    • (2016)Crosstalk-Aware Automated Mapping for Optical Networks-on-ChipACM Transactions on Embedded Computing Systems10.1145/293066616:1(1-26)Online publication date: 13-Oct-2016
    • Show More Cited By

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media