Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/3130379.3130638guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article
Free access

Endurance management for resistive logic-in-memory computing architectures

Published: 27 March 2017 Publication History

Abstract

Resistive Random Access Memory (RRAM) is a promising non-volatile memory technology which enables modern in-memory computing architectures. Although RRAMs are known to be superior to conventional memories in many aspects, they suffer from a low write endurance. In this paper, we focus on balancing memory write traffic as a solution to extend the lifetime of resistive crossbar architectures. As a case study, we monitor the write traffic in a Programmable Logic-in-Memory (PLiM) architecture, and propose an endurance management scheme for it. The proposed endurance-aware compilation is capable of handling different trade-offs between write balance, latency, and area of the resulting PLiM implementations. Experimental evaluations on a set of benchmarks including large arithmetic and control functions show that the standard deviation of writes can be reduced by 86.65% on average compared to a naive compiler, while the average number of instructions and RRAM devices also decreases by 36.45% and 13.67%, respectively.

References

[1]
J. Wang, X. Dong, Y. Xie, and N. P. Jouppi, "Endurance-aware cache line management for non-volatile caches," ACM Trans. Archit. Code Optim., vol. 11, no. 1, pp. 4:1--4:25, 2014.
[2]
G. W. Burr, B. N. Kurdi, J. C. Scott, C. H. Lam, K. Gopalakrishnan, and R. S. Shenoy, "Overview of candidate device technologies for storage-class memory," IBM Journal of Research and Development, vol. 52, no. 4.5, pp. 449--464, 2008.
[3]
S. Borkar, "Design challenges of technology scaling," IEEE Micro, vol. 19, no. 4, pp. 23--29, 1999.
[4]
J. W. McPherson, "Reliability trends with advanced CMOS scaling and the implications for design," in IEEE Custom Integrated Circuits Conference, 2007, pp. 405--412.
[5]
H. Y. Lee, Y. S. Chen, P. S. Chen, P. Y. Gu, Y. Y. Hsu, S. M. Wang, W. H. Liu, C. H. Tsai, S. S. Sheu, P. C. Chiang, W. P. Lin, C. H. Lin, W. S. Chen, F. T. Chen, C. H. Lien, and M. J. Tsai, "Evidence and solution of over-reset problem for HfOX based resistive memory with sub-ns switching speed and high endurance," in IEEE International Meeting on Electron Devices, 2010, pp. 19.7.1--19.7.4.
[6]
Y. B. Kim, S. R. Lee, D. Lee, C. B. Lee, M. Chang, J. H. Hur, M. J. Lee, G. S. Park, C. J. Kim, U. I. Chung, I. K. Yoo, and K. Kim, "Bi-layered rram with unlimited endurance and extremely uniform switching," in Symposium on VLSI Technology, 2011, pp. 52--53.
[7]
P. Zhou, B. Zhao, J. Yang, and Y. Zhang, "A durable and energy efficient main memory using phase change memory technology," in International Symposium on Computer Architecture, 2009, pp. 14--23.
[8]
M. K. Qureshi, J. Karidis, M. Franceschini, V. Srinivasan, L. Lastras, and B. Abali, "Enhancing lifetime and security of pcm-based main memory with start-gap wear leveling," in IEEE/ACM International Symposium on Microarchitecture, 2009, pp. 14--23.
[9]
N. H. Seong, D. H. Woo, and H. S. Lee, "Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping," in International Symposium on Computer Architecture, 2010, pp. 383--394.
[10]
S. Schechter, G. H. Loh, K. Strauss, and D. Burger, "Use ecp, not ecc, for hard failures in resistive memories," in International Symposium on Computer Architecture, 2010, pp. 141--152.
[11]
P.-E. Gaillardon, L. Amarú, A. Siemon, E. Linn, R. Waser, A. Chattopadhyay, and G. De Micheli, "The programmable logic-in-memory (PLiM) computer," in Design, Automation & Test in Europe, 2016, pp. 427--432.
[12]
E. Lehtonen and M. Laiho, "Stateful implication logic with memristors," in IEEE/ACM International Symposium on Nanoscale Architectures, 2009, pp. 33--36.
[13]
S. Kvatinsky, G. Satat, N. Wald, E. G. Friedman, A. Kolodny, and U. C. Weiser, "Memristor-based material implication (imply) logic: Design principles and methodologies," IEEE Trans. VLSI Syst., vol. 22, no. 10, pp. 2054--2066, 2014.
[14]
S. Kvatinsky, D. Belousov, S. Liman, G. Satat, N. Wald, E. G. Friedman, A. Kolodny, and U. C. Weiser, "Magic - memristor-aided logic," IEEE Trans. Circuits Syst. II, vol. 61, no. 11, pp. 895--899, 2014.
[15]
E. Linn, R. Rosezin, S. Tappertzhofen, U. Böttger, and R. Waser, "Beyond von neumannlogic operations in passive crossbar arrays alongside memory operations," Nanotechnology, vol. 23, no. 30, 2012.
[16]
J. Borghetti, G. S. Snider, P. J. Kuekes, J. J. Yang, D. R. Stewart, and R. S. Williams, "Memristive switches enable stateful logic operations via material implication," Nature, vol. 464, pp. 873--876, 2010.
[17]
E. Lehtonen, J. Poikonen, and M. Laiho, "Two memristors suffice to compute all Boolean functions," Electronics Letters, vol. 46, pp. 230--231, 2010.
[18]
L. G. Amarú, P.-E. Gaillardon, and G. De Micheli, "Majority-inverter graph: A novel data-structure and algorithms for efficient logic optimization," in Design Automation Conference, 2014, pp. 194:1--194:6.
[19]
S. Shirinzadeh, M. Soeken, P.-E. Gaillardon, and R. Drechsler, "Fast logic synthesis for RRAM-based in-memory computing using majority-inverter graphs," in Design, Automation & Test in Europe, 2016, pp. 948--953.
[20]
L. G. Amarú, P.-E. Gaillardon, and G. De Micheli, "Majority-inverter graph: A new paradigm for logic optimization," IEEE T-CAD, vol. 35, no. 5, pp. 806--819, 2016.
[21]
M. Soeken, S. Shirinzadeh, P.-E. Gaillardon, L. G. Amarú, R. Drechsler, and G. De Micheli, "An MIG-based compiler for programmable logic-in-memory architectures," in Design Automation Conference, 2016, pp. 117:1--117:6.

Cited By

View all
  • (2018)Computing in memory with FeFETsProceedings of the International Symposium on Low Power Electronics and Design10.1145/3218603.3218640(1-6)Online publication date: 23-Jul-2018

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image Guide Proceedings
DATE '17: Proceedings of the Conference on Design, Automation & Test in Europe
March 2017
1814 pages

Publisher

European Design and Automation Association

Leuven, Belgium

Publication History

Published: 27 March 2017

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)16
  • Downloads (Last 6 weeks)7
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2018)Computing in memory with FeFETsProceedings of the International Symposium on Low Power Electronics and Design10.1145/3218603.3218640(1-6)Online publication date: 23-Jul-2018

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media