Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1555754.1555759acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

A durable and energy efficient main memory using phase change memory technology

Published: 20 June 2009 Publication History

Abstract

Using nonvolatile memories in memory hierarchy has been investigated to reduce its energy consumption because nonvolatile memories consume zero leakage power in memory cells. One of the difficulties is, however, that the endurance of most nonvolatile memory technologies is much shorter than the conventional SRAM and DRAM technology. This has limited its usage to only the low levels of a memory hierarchy, e.g., disks, that is far from the CPU.
In this paper, we study the use of a new type of nonvolatile memories -- the Phase Change Memory (PCM) as the main memory for a 3D stacked chip. The main challenges we face are the limited PCM endurance, longer access latencies, and higher dynamic power compared to the conventional DRAM technology. We propose techniques to extend the endurance of the PCM to an average of 13 (for MLC PCM cell) to 22 (for SLC PCM) years. We also study the design choices of implementing PCM to achieve the best tradeoff between energy and performance. Our design reduced the total energy of an already low-power DRAM main memory of the same capacity by 65%, and energy-delay2 product by 60%. These results indicate that it is feasible to use PCM technology in place of DRAM in the main memory for better energy efficiency.

References

[1]
F. Bedeschi, et al. "A Multi-Level-Cell Bipolar-Selected Phase-Change Memory," 2008 IEEE International Solid-State Circuits Conference, pp. 428--429, 2008.
[2]
B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang, G. Loh, D. McCaule, P. Morrow, D. Nelson, D. Pantuso, P. Reed, J. Rupley, S. Shankar, J. Shen, C. Webb, "Die Stacking (3D) Microarchitecture," International Symposium on Microarchitecture, pp. 469--479, 2006.
[3]
F. Chen, S. Jiang, X. Zhang, "SmartSaver: Turning Flash Drive Into a Disk Energy Saver for Mobile Computers," The 11th International Symposium on Low Power Electronics and Design, pp. 412--417, 2006.
[4]
Y. C. Chen, et al. "Ultra-Thin Phase-Change Bridge Memory Device Using GeSb," International Electron Devices Meeting, pp. 777--780, December 2006.
[5]
S. L. Cho, et al., "Highly Scalable On-axis Confined Cell Structure for High Density PRAM beyond 256Mb," Symposium on VLSI Technology Digest of Technical Papers, pp. 96--97, 2005.
[6]
W. Y. Cho, et al. "A 0.18-um 3.0-V 64-Mb Nonvolatile Phase-Transition Random Access Memory (PRAM)," IEEE Journal of Solid-State-Circuits, Vol. 40, No. 1, pp. 293--300, 2005.
[7]
X. Dong, X. Wu, G. Sun, Y. Xie, H. Li, Y. Chen, "Circuit and Microarchitecture Evaluation of 3D Stacking Magnetic RAM (MRAM) as a Universal Memory Replacement," Design Automation Conference, pp. 554--559, 2008.
[8]
M. Kanellos, "IBM Changes Directions in Magnetic Memory," August, 2007, http://news.cnet.com/IBM-changes-directions-in-magnetic-memory/2100-1004 3-6203198.html.
[9]
D. H. Kang, et al., "Two-bit Cell Operation in Diode-Switch Phase Change Memory Cells with 90nm Technology," IEEE Symposium on VLSI Technology Digest of Technical Papers, pp. 98--99, 2008.
[10]
S. Kang, et al. "A 0.1-um 1.8-V 256-Mb Phase-Change Random Access Memory (PRAM) with 66-MHz Synchronous Burst-Read Operation," IEEE Journal of Solid-State Circuits, pp. 210--218, Vol. 42, No. 1, Jan. 2007.
[11]
T. Kgil, D. Roberts, T. Mudge, "Improving NAND Flash Based Disk Caches," The 35th International Symposium on Computer Architecture, pp. 327--338, 2008.
[12]
T. Kgil, A. Saidi, N. Binkert, R. Dreslinski, S. Reinhardt, K. Flautner, T. Mudge, "PicoServer: Using 3D Stacking Technology to Enable a Compact Energy Efficient Chip Multiprocessor," International Conference on Architecture Support for Programming Languages and Operating Systems, pp. 117--128, 2006.
[13]
S. Kim, H.-S. P. Wong, "Generalized Phase Change Memory Scaling Rule Analysis," Non-Volatile Semiconductor Memory Workshop, 2006.
[14]
S. Lai, T. Lowrey, "OUM - A 180nm Nonvolatile Memory Cell Element Technology for Standalone and Embedded Applications," International Electron Devices Meeting, pp. 36.5.1-36.5.4, 2001.
[15]
K.-J. Lee, et al. "A 90nm 1.8V 512Mb Diode-Switch PRAM with 266MB/s Read Throughput," IEEE Journal of Solid-state Circuits, pp. 150--162, Vol. 43, No. 1, January 2008.
[16]
K. M. Lepak and M. H. Lipasti, "\On the Value Locality of Store Instructions," International Symposium on Computer Architecture, pp. 182--191, 2000.
[17]
K. M. Lepak and M. H. Lipasti, "Silent Stores for Free," International Symposium on Microarchitecture, pp. 22--31, 2000.
[18]
G. Loh, "3D-Stacked Memory Architecture for Multi-core Processors," The 35th International Symposium on Computer Architecture, pp. 453--464, 2008.
[19]
M. G. Mohammad, L. Terkawi, M. Albasman, "Phase Change Memory Faults," the 19th International Conference on VLSI Design, pp.108--112, 2006.
[20]
H. Oh, et al. "Enhanced Write Performance of a 64-Mb Phase-Change Random Access Memory," IEEE Journal of Solid-State-Circuits, Vol. 41, No. 1, pp. 122--126, 2006.
[21]
J. H. Oh, et al. "Full Integration of Highly Manufacturable 512Mb PRAM Based on 90nm Technology," International Electron Devices Meeting, pp. 49--52, 2006.
[22]
A. Pirovano, A. Lacaita, A. Benvenuti, F. Pellizzer, S. Hudgens, R. Bez, "Scaling Analysis of Phase-Change Memory Technology," IEEE International Electron Devices Meeting, pp. 29.6.1-29.6.4, 2003.
[23]
S Raoux, et al., "Phase-Change Random Access Memory: A Scalable Technology," IBM Journal of Research and Development, vol. 52, No. 4/5, pp. 465--479, 2008.
[24]
F. Tabrizi, "The Future of Scalable STT-RAM as a Universal Embedded Memory," Embedded.com, February 2007.
[25]
S. Thoziyoor, J. H. Ahn, M. Monchiero, J. Brockman, N. P. Jouppi, "A Comprehensive Memory Modeling Tool and its Application to the Design and Analysis of Future Memory Hierarchies," The 35th International Symposium on Computer Architecture, pp.51--62, 2008.
[26]
M. Wu, W. Zwaenepoel, "eNVy: A Nonvolatile, Main Memory Storage System," International Conference on Architecture Support for Programming Languages and Operating Systems, pp. 86--97, 1994.
[27]
F. Yeung, et al., "Ge2Sb2Te5 Confined Structures and Integration of 64Mb Phase-Change Random Access Memory," Japanese Journal of Applied Physics, pp. 2691--2695, 2005.
[28]
Intel, "Intel, STMicroelectronics Deliver Industry's First Phase Change Memory Prototypes," Intel News Release, Feb. 6, 2008, http://www.intel.com/pressroom/archive/releases/20080206corp.htm
[29]
Milo M. K. Martin, et al., "Multifacet's General Execution-driven Multiprocessor Simulator (GEMS) Toolset," Computer Architecture News (CAN), 2005.
[30]
P. S. Magnusson, et al., "Simics: A full system simulation platform," Computer, 35(2):50--58, 2002.
[31]
"The International Technology Roadmap for Semiconductors, Process Integration, Device and Structures," http://www.itrs.net/links/2007itrs/ 2007 chapters/2007 PIDS.pdf 2007.

Cited By

View all
  • (2024)Compiler-assisted data placement for heterogeneous memory systemsIEICE Electronics Express10.1587/elex.21.2024046021:19(20240460-20240460)Online publication date: 10-Oct-2024
  • (2024)FSDedup: Feature-Aware and Selective Deduplication for Improving Performance of Encrypted Non-Volatile Main MemoryACM Transactions on Storage10.1145/366273620:4(1-33)Online publication date: 1-May-2024
  • (2024)Trimma: Trimming Metadata Storage and Latency for Hybrid Memory SystemsProceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques10.1145/3656019.3689612(108-120)Online publication date: 14-Oct-2024
  • Show More Cited By

Index Terms

  1. A durable and energy efficient main memory using phase change memory technology

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture
    June 2009
    510 pages
    ISBN:9781605585260
    DOI:10.1145/1555754
    • cover image ACM SIGARCH Computer Architecture News
      ACM SIGARCH Computer Architecture News  Volume 37, Issue 3
      June 2009
      495 pages
      ISSN:0163-5964
      DOI:10.1145/1555815
      Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 20 June 2009

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. endurance
    2. low power
    3. phase change memory

    Qualifiers

    • Research-article

    Conference

    ISCA '09
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 543 of 3,203 submissions, 17%

    Upcoming Conference

    ISCA '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)224
    • Downloads (Last 6 weeks)22
    Reflects downloads up to 14 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Compiler-assisted data placement for heterogeneous memory systemsIEICE Electronics Express10.1587/elex.21.2024046021:19(20240460-20240460)Online publication date: 10-Oct-2024
    • (2024)FSDedup: Feature-Aware and Selective Deduplication for Improving Performance of Encrypted Non-Volatile Main MemoryACM Transactions on Storage10.1145/366273620:4(1-33)Online publication date: 1-May-2024
    • (2024)Trimma: Trimming Metadata Storage and Latency for Hybrid Memory SystemsProceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques10.1145/3656019.3689612(108-120)Online publication date: 14-Oct-2024
    • (2024)Streaming Algorithms with Few State ChangesProceedings of the ACM on Management of Data10.1145/36511452:2(1-28)Online publication date: 14-May-2024
    • (2024)SPIMulator: A Spintronic Processing-in-memory Simulator for RacetracksACM Transactions on Embedded Computing Systems10.1145/364511223:6(1-27)Online publication date: 11-Sep-2024
    • (2024)A Scalable Wear Leveling Technique for Phase Change MemoryACM Transactions on Storage10.1145/363114620:1(1-26)Online publication date: 30-Jan-2024
    • (2024)Mitigating Write Disturbance in Non-Volatile Memory via Coupling Machine Learning with Out-of-Place Updates2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00092(1184-1198)Online publication date: 2-Mar-2024
    • (2024)Enhancing the Lifetime of STT-RAM by IFTRPEngineering Research Express10.1088/2631-8695/ad7d646:4(045201)Online publication date: 1-Oct-2024
    • (2023)A congestion-aware hybrid SRAM and STT-RAM buffer design for network-on-chip routerIEICE Electronics Express10.1587/elex.19.2022007820:2(20220078-20220078)Online publication date: 25-Jan-2023
    • (2023)MC-ELMM: Multi-Chip Endurance-Limited Memory ManagementProceedings of the International Symposium on Memory Systems10.1145/3631882.3631905(1-16)Online publication date: 2-Oct-2023
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media