Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3218603.3218640acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Computing in memory with FeFETs

Published: 23 July 2018 Publication History

Abstract

Data transfer between a processor and memory frequently represents a bottleneck with respect to improving application-level performance. Computing in memory (CiM), where logic and arithmetic operations are performed in memory, could significantly reduce both energy consumption and computational overheads associated with data transfer. Compact, low-power, and fast CiM designs could ultimately lead to improved application-level performance. This paper introduces a CiM architecture based on ferroelectric field effect transistors (FeFETs). The CiM design can serve as a general purpose, random access memory (RAM), and can also perform Boolean operations ((N)AND, (N)OR, X(N)OR, INV) as well as addition (ADD) between words in memory. Unlike existing CiM designs based on other emerging technologies, FeFET-CiM accomplishes the aforementioned operations via a single current reference in the sense amplifier, which leads to more compact designs and lower power. Furthermore, the high Ion/Ioff ratio of FeFETs enables an inexpensive voltage-based sense scheme. Simulation-based case studies suggest that our FeFET-CiM can achieve speed-ups (and energy reduction) of ~119X (~1.6X) and ~1.97X (~1.5X) over ReRAM and STT-RAM CiM designs with respect to in-memory addition of 32-bit words. Furthermore, our approach offers an average speedup of ~2.5X and energy reduction of ~1.7X when compared to a conventional (not in-memory) approach across a wide range of benchmarks.

References

[1]
A. Sebastian, et al. Temporal correlation detection using computational phase-change memory. Nature Communications, 8(1):1115, 2017.
[2]
S. Jeloka, et al. A 28 nm configurable memory (tcam/bcam/sram) using push-rule 6t bit cell enabling logic-in-memory. JSSC, 51(4):1009--1021, 2016.
[3]
J. Zhang, et al. In-memory computation of a machine learning classifier in a standard 6t sram array. JSSC, 52(4):915--924, 2017.
[4]
M. Kang, et al. An energy-efficient vlsi architecture for pattern recognition via deep embedding of computation in sram. In ICASSP, 2014.
[5]
M. P. McCartney. SRAM reliability improvement using ECC and circuit techniques. PhD thesis, Carnegie Mellon University, 2014.
[6]
S. Jain, et al. Computing in memory with spin-transfer torque magnetic ram. TVLSI, PP(99):1--14, 2017.
[7]
N. Talati, et al. Logic design within memristive memories using memristor-aided logic (magic). TNANO, 15(4):635--650, 2016.
[8]
V. Seshadri, et al. Buddy-ram: Improving the performance and efficiency of bulk bitwise operations using DRAM. CoRR, abs/1611.09988, 2016.
[9]
S. Li, et al. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In DAC, pages 1--6, 2016.
[10]
A. Aziz, et al. Physics-based circuit-compatible spice model for ferroelectric transistors. IEEE Electron Device Lett., 37(6):805--808, 2016.
[11]
T. Song. Landau-khalatnikov simulations for ferroelectric switching in ferroelectric random access memory application. JKPS, 46(1):5--9, 2005.
[12]
K. Chatterjee, et al. Self-aligned, gate last, fdsoi, ferroelectric gate memory device with 5.5-nm hf 0.8 zr 0.2 o 2, high endurance and breakdown recovery. IEEE Electron Device Lett., 38(10):1379--1382, 2017.
[13]
C. H. Cheng et al. Low-leakage-current dram-like memory using a one-transistor ferroelectric mosfet with a hf-based gate dielectric. IEEE Electron Device Lett., 35(1):138--140, Jan 2014.
[14]
R. Vattikonda, et al. Modeling and minimization of pmos nbti effect for robust nanometer design. In DAC, 2006.
[15]
X. Yin, et al. Exploiting ferroelectric fets for low-power non-volatile logic-in-memory circuits. In ICCAD, pages 1--8, 2016.
[16]
X. Yin, et al. Design and benchmarking of ferroelectric fet based tcam. In DATE, pages 1444--1449, 2017.
[17]
M. Imani, et al. Mpim: Multi-purpose in-memory processing using configurable resistive memory. In ASP-DAC, pages 757--763, 2017.
[18]
S. George, et al. Nonvolatile memory design based on ferroelectric fets. In DAC, 2016.
[19]
ITRS. Design. Technical report, 2007.
[20]
M. Poremba, et al. Destiny: A tool for modeling emerging 3d nvm and edram caches. In DATE, 2015.
[21]
S. Shirinzadeh, et al. Endurance management for resistive logic-in-memory computing architectures. In DATE, pages 1092--1097, 2017.

Cited By

View all
  • (2024)Brain-inspired computing systems: a systematic literature reviewThe European Physical Journal B10.1140/epjb/s10051-024-00703-697:6Online publication date: 6-Jun-2024
  • (2024)FeMPIM: A FeFET-Based Multifunctional Processing-in-Memory CellIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2023.333126771:4(2299-2303)Online publication date: Apr-2024
  • (2024)A Computing-in-Memory-Based One-Class Hyperdimensional Computing Model for Outlier DetectionIEEE Transactions on Computers10.1109/TC.2024.337178273:6(1559-1574)Online publication date: Jun-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ISLPED '18: Proceedings of the International Symposium on Low Power Electronics and Design
July 2018
327 pages
ISBN:9781450357043
DOI:10.1145/3218603
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 23 July 2018

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Computing in memory
  2. Emerging technologies
  3. FeFETs

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

ISLPED '18
Sponsor:

Acceptance Rates

Overall Acceptance Rate 398 of 1,159 submissions, 34%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)375
  • Downloads (Last 6 weeks)31
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Brain-inspired computing systems: a systematic literature reviewThe European Physical Journal B10.1140/epjb/s10051-024-00703-697:6Online publication date: 6-Jun-2024
  • (2024)FeMPIM: A FeFET-Based Multifunctional Processing-in-Memory CellIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2023.333126771:4(2299-2303)Online publication date: Apr-2024
  • (2024)A Computing-in-Memory-Based One-Class Hyperdimensional Computing Model for Outlier DetectionIEEE Transactions on Computers10.1109/TC.2024.337178273:6(1559-1574)Online publication date: Jun-2024
  • (2024)Time-Domain-Based Non-volatile In-Memory Computing Architecture Using FeFETs for Binary Neural Network2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528367(1-8)Online publication date: 3-Apr-2024
  • (2024)Towards Area-Efficient Path-Based In-Memory Computing using Graph Isomorphisms2024 29th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASP-DAC58780.2024.10473850(812-817)Online publication date: 22-Jan-2024
  • (2024)CMOS-Based Single-Cycle in-Memory XOR/XNORIEEE Access10.1109/ACCESS.2024.338475212(49528-49534)Online publication date: 2024
  • (2024)Emerging Technologies for Memory-Centric ComputingDesign and Applications of Emerging Computer Systems10.1007/978-3-031-42478-6_1(3-29)Online publication date: 14-Jan-2024
  • (2023)Additive manufacture of polymeric organometallic ferroelectric diodes (POMFeDs) for structural neuromorphic hardwareProceedings of the 2023 Annual Neuro-Inspired Computational Elements Conference10.1145/3584954.3584998(92-99)Online publication date: 11-Apr-2023
  • (2023)In-Memory Computing Accelerators for Emerging Learning ParadigmsProceedings of the 28th Asia and South Pacific Design Automation Conference10.1145/3566097.3568356(606-611)Online publication date: 16-Jan-2023
  • (2023)FeFET based Logic-in-Memory design methodologies, tools and open challenges2023 IFIP/IEEE 31st International Conference on Very Large Scale Integration (VLSI-SoC)10.1109/VLSI-SoC57769.2023.10321901(1-6)Online publication date: 16-Oct-2023
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media