Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

Power-aware SoC test planning for effective utilization of port-scalable testers

Published: 25 July 2008 Publication History

Abstract

Many system-on-chip (SoC) integrated circuits contain embedded cores with different scan frequencies. To better meet the test requirements for such heterogeneous SoCs, leading tester companies have recently introduced port-scalable testers, which can simultaneously drive groups of channels at different data rates. However, the number of tester channels available for scan testing is limited; therefore, a higher shift frequency can increase the test time for a core if the resulting test access architecture reduces the bit-width used to access it. We present a scalable test planning technique that exploits port scalability of testers to reduce SoC test time. We compare the proposed heuristic optimization method to two baseline methods based on prior works that use a single scan data rate for all embedded cores. We also propose a power-aware test planning technique to effectively utilize port-scalable testers under constraints of test power consumption. Experimental results are presented for power-aware test scheduling to illustrate the impact of power constraints on overall test time.

References

[1]
Agilent 93000. 2008. Agilent 93000 Multi-Port Testing User Manual, revision 4.0.0.
[2]
Agilent Technologies. 2002. Winning in the SoC market, available online at: http://cp.literature.agilent.com/litweb/pdf/5988-7344EN.pdf.
[3]
Chakrabarty, K. 2001. Optimal test access architectures for system-on-a-chip. ACM Trans. Des. Autom. Electron. Syst. 6, 26--49.
[4]
Chickermane, P. G. V., Gregor, S., and Pierre, T. S. 2001. A building block BIST methodology for SoC designs: A case study. In Proceedings of the International Test Conference, 111--120.
[5]
Chou, R. M., Saluja, K. K., and Agrawal, V. D. 1997. Scheduling tests for VLSI systems under power constraints. IEEE Trans. VLSI Syst. 5, 175--185.
[6]
Dorsch, R., Rivera, R. H., Wunderlich, H. J., and Fischer, M. 2002. Adapting an SoC to ATE concurrent test capabilities. In Proceedings of the International Test Conference, 1169--1175.
[7]
Goel, S. K., Chiu, K., Marinissen, E. J., Nguyen, T., and Oostdijk, S. 2004. Test infrastructure design for the Nexperia#8482; home platform pnx8550 system chip. In Proceedings of the DATE Designers' Forum, 108--113.
[8]
Goel, S. K. and Marinissen, E. J. 2002. Effective and efficient test architecture design for SoCs. In Proceedings of the International Test Conference, 529--538.
[9]
Gonciari, P. T., Hashimi, B. A., and Nicolici, N. 2003. Addressing useless test data in core-based system-on-a-chip test. IEEE Trans. Comput. Aided Des. 22, 1568--1580.
[10]
Huang, Y., Reddy, S. M., Cheng, W. T., Reuter, P., Mukherjee, N., Tsai, C. C., Samman, O., and Zaidan, Y. 2002. Optimal core wrapper width selection and SoC test scheduling based on 3-D bin packing algorithm. In Proceedings of the International Test Conference, 74--82.
[11]
Iyengar, V. and Chakrabarty, K. 2002. System-on-a-Chip test scheduling with precedence relationships, preemption, and power constraint. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 21, 1088--1094.
[12]
Iyengar, V., Chakrabarty, K., and Marinissen, E. J. 2003a. Efficient test access mechanism optimization for system-on-chip. IEEE Trans. Comput. Aided Des. 6, 635--643.
[13]
Iyengar, V., Chakrabarty, K., and Marinissen, E. J. 2003b. Test access mechanism optimization, test scheduling and tester data volume reduction for system-on-chip. IEEE Trans. Comput. 52, 1619--1632.
[14]
Iyengar, V., Marinissen, E. J., and Chakrabarty, K. 2002. Test wrapper and test access mechanism co-optimization for system-on-chip. J. Electron. Test. Theory Appl. 18, 213--230.
[15]
Khoche, A. 2001. Agilent corporation. Private communication.
[16]
Larsson, E. and Peng, Z. 2001. Test scheduling and scan division under power constraint. In Proceedings of the Asian Test Symposium, 259--264.
[17]
Larsson, E. and Peng, Z. 2002. An integrated framework for the design and optimization of SoC test solutions. J. Electron. Test. Theory Appl. 18, 385--400.
[18]
Larsson, E. and Peng, Z. 2006. Power-Aware test planning in the early system-on-chip design exploration process. IEEE Trans. Comput. 55, 227--239.
[19]
Lin, X. and Thompson, R. 2003. Test generation for designs with multiple clocks. In Proceedings of the Design Automation Conference, 662--667.
[20]
Marinissen, E. J., Arendsen, R. G. J., Bos, G., Dingemanse, H., Lousberg, M., and Wouters, C. 1998. A structured and scalable mechanism for test access to embedded reusable cores. In Proceedings of the International Test Conference, 284--293.
[21]
Marinissen, E. J., Iyengar, V., and Chakrabarty, K. 2002. A set of benchmarks for modular testing of SoCs. In Proceedings of the International Test Conference, 519--528.
[22]
Samii, S., Larsson, E., Chakrabarty, K., and Peng, Z. 2006. Cycle-Accurate test power modeling and its application to SoC test scheduling. In Proceedings of the International Test Conference.
[23]
Schmid, J. and Knablein, J. 1999. Advanced synchronous scan test methodology for multi clock domain ASICs. In Proceedings of the VLSI Test Symposium, 106--113.
[24]
Sehgal, A. and Chakrabarty, K. 2007. Optimization of dual-speed TAM architectures for efficient modular testing of SoCs. IEEE Trans. Comput. 56, 120--133.
[25]
Sehgal, A., Iyengar, V., and Chakrabarty, K. 2004. SoC test planning using virtual test access architectures. IEEE Trans. VLSI Syst. 2, 1262--1276.
[26]
Su, C. P. and Wu, C. W. 2004. A graph-based approach to power-constrained SoC test scheduling. J. Electron. Test. Theory Appl. 19, 45--60.
[27]
Teradyne Technologies. 2008. Tiger: Advanced digital with silicon germanium technology. http://www.teradyne.com/tiger/digital.html.
[28]
Vranken, H., Hapke, F., Rogge, S., Chindamo, D., and Vokerink, E. 2003. ATPG padding and ATE vector repeat per port for reducing test data volume. In Proceedings of the International Test Conference, 1069--1078.
[29]
Xu, Q. and Nicolici, N. 2004a. Multi-Frequency test access mechanism design for modular SoC testing. In Proceedings of the Asian Test Symposium, 2--7.
[30]
Xu, Q. and Nicolici, N. 2004b. Time/Area tradeoffs in testing hierarchical SoCs with hard mega-cores. In Proceedings of the International Test Conference, 1196--1202.
[31]
Xu, Q. and Nicolici, N. 2005. Resource-Constrained system-on-a-chip test: A survey. IEE Proce. Comput. Digital Techniq. 152, 67--81.
[32]
Yoneda, T., Imanishi, M., and Fujiwara, H. 2007. An SoC test scheduling algorithm using reconfigurable union wrappers. In Proceedings of DATE, 231--236.
[33]
Yu, T. E., Yoneda, T., Zhao, D., and Fujiwara, H. 2007. Using domain partitioning in wrapper design for IP cores under power constraints. In Proceedings of the VLSI Test Symposium, 369--374.
[34]
Zhao, D. and Upadhyaya, S. 2003. Power constrained test scheduling with dynamically varied TAM. In Proceedings of the VLSI Test Symposium, 273--278.
[35]
Zorian, Y., Marinissen, E. J., and Dey, S. 1999. Testing embedded-core-based system chips. IEEE Comput. 32, 52--60.

Cited By

View all
  • (2017)Power-Aware Optimization of SoC Test Schedules Using Voltage and Frequency ScalingJournal of Electronic Testing: Theory and Applications10.1007/s10836-017-5652-233:2(171-187)Online publication date: 1-Apr-2017
  • (2013)Power-aware SoC test optimization through dynamic voltage and frequency scaling2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC)10.1109/VLSI-SoC.2013.6673258(102-107)Online publication date: Oct-2013
  • (2012)Power-aware system-on-chip test scheduling using enhanced rectangle packing algorithmComputers and Electrical Engineering10.1016/j.compeleceng.2012.04.01038:6(1444-1455)Online publication date: 1-Nov-2012
  • Show More Cited By

Index Terms

  1. Power-aware SoC test planning for effective utilization of port-scalable testers

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 13, Issue 3
      July 2008
      370 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/1367045
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 25 July 2008
      Accepted: 01 March 2008
      Revised: 01 December 2007
      Received: 01 June 2007
      Published in TODAES Volume 13, Issue 3

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. SoC test
      2. integer linear programming
      3. port-scalable testers
      4. test access architecture

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)3
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 26 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2017)Power-Aware Optimization of SoC Test Schedules Using Voltage and Frequency ScalingJournal of Electronic Testing: Theory and Applications10.1007/s10836-017-5652-233:2(171-187)Online publication date: 1-Apr-2017
      • (2013)Power-aware SoC test optimization through dynamic voltage and frequency scaling2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC)10.1109/VLSI-SoC.2013.6673258(102-107)Online publication date: Oct-2013
      • (2012)Power-aware system-on-chip test scheduling using enhanced rectangle packing algorithmComputers and Electrical Engineering10.1016/j.compeleceng.2012.04.01038:6(1444-1455)Online publication date: 1-Nov-2012
      • (2009)Power-Aware System-Level Test PlanningPower-Aware Testing and Test Strategies for Low Power Devices10.1007/978-1-4419-0928-2_6(175-211)Online publication date: 13-Aug-2009

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media