Nothing Special   »   [go: up one dir, main page]

skip to main content
article

Transition-overhead-aware voltage scheduling for fixed-priority real-time systems

Published: 01 April 2007 Publication History

Abstract

Time transition overhead is a critical problem for hard real-time systems that employ dynamic voltage scaling (DVS) for power and energy management. While it is a common practice of much previous work to ignore transition overhead, these algorithms cannot guarantee deadlines and/or are less effective in saving energy when transition overhead is significant and not appropriately dealt with. In this article we introduce two techniques, one offline and one online, to correctly account for transition overhead in preemptive fixed-priority real-time systems. We present several DVS scheduling algorithms that implement these methods that can guarantee task deadlines under arbitrarily large transition time overheads and reduce energy consumption by as much as 40% when compared to previous methods.

Supplementary Material

Mochocki Appendix (a11-mochocki-apndx.pdf)
Online appendix to designing mediation for context-aware applications. The appendix supports the information on article 11.

References

[1]
AMD. 2001. Mobile amd athlon 4 processor model 6 cpga data sheet rev:e. Tech. Rep. 24319, Advanced Micro Devices. November.
[2]
Andrei, A., Schmitz, M., Eles, P., Peng, Z., and Al-Hashimi, B. M. 2004. Overhead-Conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE). IEEE Computer Society, Los Alamitos, CA.
[3]
Andrei, A., Schmitz, M. T., Eles, P., Peng, Z., and Hashimi, B. M. A. 2005. Quasi-Static voltage scaling for energy minimization with time constraints. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE). IEEE Computer Society, Los Alamitos, CA. 514--519.
[4]
Burd, T. D. 2001. Energy-Efficient processor system design. Ph.D. thesis, University of California, Berkeley, Berkeley, CA.
[5]
Burd, T. D. and Brodersen, R. W. 2000. Design issues for dynamic voltage scaling. In Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED). 9--14.
[6]
Burns, A., Tindell, K., and Wellings, A. 1995. Effective analysis for engineering real-time fixed priority schedulers. IEEE Trans. Softw. Eng. 21, 5 (May), 475--480.
[7]
Compaq. 2000. Compaq ipaq h3600 hardware design specification - version 0.2f. http://www.handhelds.org/Compaq/iPAQH3600/iPAQ_H3600.html.
[8]
Gruian, F. and Kuchcinski, K. 2003. Uncertainty-Based scheduling: Energy-Efficient ordering for tasks with variable execution time. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). ACM Press, New York. 465--468.
[9]
Hong, I., Qu, G., Potkonjak, M., and Srivastava, M. B. 1998. Synthesis techniques for low-power hard real-time systems on variable voltage processors. In Proceedings of the 19th Real-Time Systems Symposium (RTSS). 178--187.
[10]
Intel. 2000. The Intel xscale microarchitecture. Tech. Rep., Intel Corporation.
[11]
Kim, N., Ryu, M., Hong, S., Saksena, M., ho Choi, C., and Shin, H. 1996. Visual assessment of a real-time system design: A case study on a CNC controller. In Proceedings of the 17th Real-Time Systems Symposium (RTSS). 300--310.
[12]
Kim, W., Kim, J., and Min, S. L. 2003. Dynamic voltage scaling algorithm for dynamic-priority hard real-time systems using work-demand analysis. In Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED). 396--401.
[13]
Kim, W., Kim, J., and Min, S. L. 2004. Preemption-Aware dynamic voltage scaling in hard real-time systems. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). ACM Press, New York. 393--398.
[14]
Liu, J. W. S. 2000. Real-Time Systems. Prentice-Hall, Upper Saddle River, NJ.
[15]
Martin, S. M., Flautner, K., Mudge, T., and Blaauw, D. 2002. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 721--725.
[16]
Mochocki, B., Hu, X. S., and Quan, G. 2002. A realistic variable voltage scheduling model for real-time applications. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 726--731.
[17]
Mochocki, B. C., Hu, X. S., and Quan, G. 2005. Practical on-line DVS scheduling for fixed-priority real-time systems. In Proceedings of the 11th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 224--233.
[18]
Pillai, P. and Shin, K. G. 2001. Real-Time dynamic voltage scaling for low-power embedded operating systems. In Proceedings of the 18th ACM Symposium on Operating Systems Principles (SOSP). 89--102.
[19]
Pouwelse, J., Langendoen, K., and Sips, H. 2001. Dynamic voltage scaling on a low-power microprocessor. In Proceedings of the 7th Annual International Conference on Mobile Computing and Networking (MOBICOM). 251--259.
[20]
Qin, W. 2004. Simit-ARM: Very fast functional and cycle-accurate simulators for ARM. http://http://sourceforge.net/projects/simit-arm/.
[21]
Quan, G. and Hu, X. S. 2001. Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors. In Proceedings of the Design Automation Conference (DAC). 828--833.
[22]
Quan, G. and Hu, X. S. 2002. Minimum energy fixed-priority scheduling for variable voltage processors. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE). 782--787.
[23]
Quan, G., Niu, L., Hu, X. S., and Mochocki, B. 2004. Fixed priority scheduling for reducing overall energy on variable voltage processors. In Proceedings of the 25th Real-Time Systems Symposium (RTSS). 309--318.
[24]
Saewong, S. and Rajkumar, R. 2003. Practical voltage-scaling for fixed-priority RT systems. In Proceedings of the 9th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS). 106--114.
[25]
Seo, J., Kim, T., and Chung, K.-S. 2004. Profile-Based optimal intra-task voltage scheduling for hard real-time applications. In Proceedings of the Design Automation Conference (DAC). IEEE Computer Society, Los Alamitos, CA. 87--92.
[26]
Seo, J., Kim, T., and Chung, K.-S. 2006. Poptimal intratask dynamic voltage-scaling technique and its practical extensions. IEEE Trans. Compu. Aided Desi. Integrated Circ. Syst. 25, 1 (Jan.), 47--57.
[27]
Seo, J., Kim, T., and Dutt, N. D. 2005. Optimal integration of inter-task and intra-task dynamic voltage scaling techniques for hard real-time applications. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 450--455.
[28]
Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced CPU energy. In Proceedings of the 36th Annual Symposium on the Foundations of Computer Science (FOCS). 374--382.
[29]
Zhang, Y. and Chakrabarty, K. 2004. Task feasibility analysis and dynamic voltage scaling in fault-tolerant real-time embedded systems. In Proceedings of the Conference on Design, Automation and Test in Europe (DATE).
[30]
Zhang, Y., Hu, X. S., and Chen, D. Z. 2003. Energy minimization of real-time tasks on viariable voltage processors with transition energy overhead. In Proceedings of the Asian and South-Pacific Design Automation Conference (ASPDAC). 65--70.

Cited By

View all
  • (2019)Energy‐efficient quantum‐inspired stochastic Q‐HypE algorithm for batch‐of‐stochastic‐tasks on heterogeneous DVFS‐enabled processorsConcurrency and Computation: Practice and Experience10.1002/cpe.532731:20Online publication date: 8-May-2019
  • (2017)Power-efficient real-time scheduling based on multi-granularity resource reservation for multimedia servicesIET Software10.1049/iet-sen.2015.010811:4(171-180)Online publication date: 1-Aug-2017
  • (2017)Reducing power consumption of non-preemptive real-time systemsThe Journal of Supercomputing10.1007/s11227-017-2092-973:12(5402-5413)Online publication date: 1-Dec-2017
  • Show More Cited By

Index Terms

  1. Transition-overhead-aware voltage scheduling for fixed-priority real-time systems

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 12, Issue 2
      April 2007
      222 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/1230800
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 01 April 2007
      Published in TODAES Volume 12, Issue 2

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Dynamic voltage scaling
      2. fixed priority
      3. low power
      4. scheduling
      5. transition overhead

      Qualifiers

      • Article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)7
      • Downloads (Last 6 weeks)2
      Reflects downloads up to 26 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2019)Energy‐efficient quantum‐inspired stochastic Q‐HypE algorithm for batch‐of‐stochastic‐tasks on heterogeneous DVFS‐enabled processorsConcurrency and Computation: Practice and Experience10.1002/cpe.532731:20Online publication date: 8-May-2019
      • (2017)Power-efficient real-time scheduling based on multi-granularity resource reservation for multimedia servicesIET Software10.1049/iet-sen.2015.010811:4(171-180)Online publication date: 1-Aug-2017
      • (2017)Reducing power consumption of non-preemptive real-time systemsThe Journal of Supercomputing10.1007/s11227-017-2092-973:12(5402-5413)Online publication date: 1-Dec-2017
      • (2016)Energy-Aware Scheduling for Real-Time SystemsACM Transactions on Embedded Computing Systems10.1145/280823115:1(1-34)Online publication date: 13-Jan-2016
      • (2016)Energy-aware processing of big data in homogeneous clusterSignal, Image and Video Processing10.1007/s11760-016-0964-811:2(371-379)Online publication date: 8-Sep-2016
      • (2015)Crenel-Interval-Based Dynamic Power Management for Periodic Real-Time SystemsACM Transactions on Embedded Computing Systems10.1145/274419714:4(1-32)Online publication date: 24-Sep-2015
      • (2015)Temperature-Aware Data Allocation for Embedded Systems with Cache and Scratchpad MemoryACM Transactions on Embedded Computing Systems10.1145/262965014:2(1-24)Online publication date: 9-Mar-2015
      • (2014)Bargaining Solutions for Resource Allocation ProblemsGame Theory Applications in Network Design10.4018/978-1-4666-6050-2.ch011(277-310)Online publication date: 2014
      • (2014)A Blocking-Aware Scheduling for Real-Time Task Synchronization Using a Leakage-Controlled MethodInternational Journal of Distributed Sensor Networks10.1155/2014/42823010:2(428230)Online publication date: Jan-2014
      • (2013)Systematic Design Principles for Cost-Effective Hard Constraint Management in Dynamic Nonlinear SystemsInnovations and Approaches for Resilient and Adaptive Systems10.4018/978-1-4666-2056-8.ch001(1-28)Online publication date: 2013
      • Show More Cited By

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media