Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/968878.969002acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article

Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems

Published: 16 February 2004 Publication History

Abstract

Dynamic voltage scaling and adaptive body biasing have been shown to reduce dynamic and leakage power consumption effectively. In this paper, we optimally solve the combined supply voltage and body bias selection problem for multi-processor systems with imposed time constraints, explicitly taking into account the transition overheads implied by changing voltage levels. Both energy and time overheads are considered. We investigate the continuous voltage scaling as well as its discrete counterpart, and we prove NP-hardness in the discrete case. Furthermore, the continuous voltage scaling problem is formulated and solved using nonlinear programming with polynomial time complexity, while for the discrete problem we use mixed integer linear programming. Extensive experiments, conducted on several benchmarks and a real-life example, are used to validate the approaches.

References

[1]
{1} Intel® XScale¿ Core, Developer's Manual, December 2000.
[2]
{2} Mobile AMD Athlon¿4, Processor Model 6 CPGA Data Sheet, November 2000. Publication No. 24319 Rev. E.
[3]
{3} S. Borkar. Design Challenges of Technology Scaling. IEEE Mirco, pages 23-29, July 1999.
[4]
{4} A. P. Chandrakasan and R. W. Brodersen. Low Power Digital CMOS Design. Kluwer Academic Publisher, 1995.
[5]
{5} P. De, E. Dunne, J. Ghosh, and C. Wells. Complexity of the Discrete Time-Cost Tradeoff problem for Project Networks. Operations Research, 45(2):302-306, March 1997.
[6]
{6} D. Duarte, N. Vijaykrishnan, M. Irwin, H. Kim, and G. McFarland. Impact of Scaling on The Effectiveness of Dynamic Power Reduction. In Proc. ICCD, Sept. 2002.
[7]
{7} F. Gruian and K. Kuchcinski. LEneS: Task Scheduling for Low-Energy Systems Using Variable Supply Voltage Processors. In Proc. ASP-DAC'01, pages 449-455, Jan. 2001.
[8]
{8} I. Hong, G. Qu, M. Potkonjak, and M. B. Srivastava. Synthesis Techniques for Low-Power Hard Real-Time Systems on Variable Voltage Processors. In Proc. Real-Time Systems Symposium, 1998.
[9]
{9} T. Ishihara and H. Yasuura. Voltage Scheduling Problem for Dynamically Variable Voltage Processors. In Proc. Int. Symp. Low Power Electronics and Design (ISLPED'98), pages 197-202, 1998.
[10]
{10} C. Kim and K. Roy. Dynamic Vth Scaling Scheme for Active Leakage Power Reduction. In Proc. Design, Automation and Test in Europe Conf. (DATE02), pages 163-167, March 2002.
[11]
{11} W. Kwon and T. Kim. Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors. In Proc. IEEE DAC'03, pages 125-130, June 2003.
[12]
{12} J. Luo and N. Jha. Power-profile Driven Variable Voltage Scaling for Heterogeneous Distributed Real-time Embedded Systems. In Proc. VLSI'03, 2003.
[13]
{13} S. Martin, K. Flautner, T. Mudge, and D. Blaauw. Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Lower Power Microprocessors under Dynamic Workloads. In Proc. ICCAD-02, pages 721-725, 2002.
[14]
{14} B. Mochocki, X. Hu, and G. Quan. A Realistic Variable Voltage Scheduling Model for Real-Time Applications. In Proc. ICCAD-02, pages 726-731, 2002.
[15]
{15} Y. Nesterov and A. Nemirovskii. Interior-Point Polynomial Algorithms in Convex Programming. Studies in Applied Mathematics, 1994.
[16]
{16} F. Yao, A. Demers, and S. Shenker. A Scheduling Model for Reduced CPU Energy. IEEE FOCS, 1995.
[17]
{17} Y. Zhang, X. Hu, and D. Chen. Task Scheduling and Voltage Selection for Energy Minimization. In Proc. IEEE DAC'02, June 2002.
[18]
{18} Y. Zhang, X. Hu, and D. Chen. Energy Minimization of Real-time Tasks on Variable Voltage Processors with Transition Energy Overhead. In Proc. ASP-DAC'03, pages 65-70, 2003.
[19]
{19} M. T. Schmitz, B. M. Al-Hashimi, and P. Eles. Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems. In Proc. DATE'02, pages 514- 521, 2002.
[20]
{20} A. Andrei, M. T. Schmitz, P. Eles, Z. Peng. Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. Technical Report, Linköping University, Sept. 2003, http://www.ida.liu.se/~alean/pub/Rep_01.pdf.

Cited By

View all
  • (2014)Provably minimal energy using coordinated DVS and power gatingProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2617034(1-6)Online publication date: 24-Mar-2014
  • (2014)Energy efficient DVFS scheduling for mixed-criticality systemsProceedings of the 14th International Conference on Embedded Software10.1145/2656045.2656057(1-10)Online publication date: 12-Oct-2014
  • (2013)Transition-aware task scheduling and configuration selection in reconfigurable embedded systemsACM SIGBED Review10.1145/2583687.258369610:4(37-40)Online publication date: 1-Dec-2013
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '04: Proceedings of the conference on Design, automation and test in Europe - Volume 1
February 2004
688 pages
ISBN:0769520855

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 16 February 2004

Check for updates

Qualifiers

  • Article

Conference

DATE04
Sponsor:

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Upcoming Conference

DATE '25
Design, Automation and Test in Europe
March 31 - April 2, 2025
Lyon , France

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 16 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2014)Provably minimal energy using coordinated DVS and power gatingProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2617034(1-6)Online publication date: 24-Mar-2014
  • (2014)Energy efficient DVFS scheduling for mixed-criticality systemsProceedings of the 14th International Conference on Embedded Software10.1145/2656045.2656057(1-10)Online publication date: 12-Oct-2014
  • (2013)Transition-aware task scheduling and configuration selection in reconfigurable embedded systemsACM SIGBED Review10.1145/2583687.258369610:4(37-40)Online publication date: 1-Dec-2013
  • (2013)Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessorsProceedings of the 28th Annual ACM Symposium on Applied Computing10.1145/2480362.2480645(1517-1524)Online publication date: 18-Mar-2013
  • (2010)Unified theory of real-time task scheduling and dynamic voltage/frequency scaling on MPSoCsProceedings of the International Conference on Computer-Aided Design10.5555/2133429.2133456(139-142)Online publication date: 7-Nov-2010
  • (2009)Energy minimization for real-time systems with non-convex and discrete operation modesProceedings of the Conference on Design, Automation and Test in Europe10.5555/1874620.1874961(1416-1421)Online publication date: 20-Apr-2009
  • (2009)A feedback-based approach to DVFS in data-flow applicationsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2009.203043928:11(1691-1704)Online publication date: 1-Nov-2009
  • (2008)Efficient and scalable compiler-directed energy optimization for realtime applicationsACM Transactions on Design Automation of Electronic Systems10.1145/1255456.125546412:3(1-16)Online publication date: 22-May-2008
  • (2008)Body bias voltage computations for process and temperature compensationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2007.91213716:3(249-262)Online publication date: 1-Mar-2008
  • (2007)Fast calculation of permissible slowdown factors for hard real-time systemsProceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation10.5555/2391795.2391854(495-504)Online publication date: 3-Sep-2007
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media