Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/968879.969133acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article

Task Feasibility Analysis and Dynamic Voltage Scaling in Fault-Tolerant Real-Time Embedded Systems

Published: 16 February 2004 Publication History

Abstract

We investigate dynamic voltage scaling (DVS) in realtime embedded systems that use checkpointing for fault tolerance. We present feasibility-of-scheduling tests for checkpointing schemes for a constant processor speed as well as for variable processor speeds. DVS is then carried out on the basis of the feasibility analysis. We incorporate practical issues such as faults during checkpointing and state restoration, rollback recovery time, memory access time and energy, and DVS overhead. Simulation results are presented for real-life checkpointing data and embedded processors.

References

[1]
{1} Y. Shin and K. Choi, "Power conscious fixed priority scheduling for hard real-time systems", Proc. DAC, pp. 134-139, 1999.
[2]
{2} G. Quan and X. Hu, "Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors", Proc. DAC, pp. 828-833, 2001.
[3]
{3} K. G. Shin and Y.-H. Lee, "Error detection process--Model, design and its impact on computer performance", IEEE Trans. Computers, vol. C-33, pp. 529-540, Jun. 1984.
[4]
{4} A. Ziv and J. Bruck, "An on-line algorithm for checkpoint placement", IEEE Trans. Computers, vol. 46, no. 9, pp. 976-985, Sep. 1997.
[5]
{5} S. W. Kwak et al, "An optimal checkpointing-strategy for real-time control systems under transient faults", IEEE Trans. Reliability, vol. 50, no. 3, pp. 293-301, Sep. 2001.
[6]
{6} Y. Zhang and K. Chakrabarty, "Energy-aware adaptive checkpointing in embedded real-time systems", Proc. DATE, pp. 918-923, 2003.
[7]
{7} R. Melhem et al, "The interplay of power management and fault recovery in real-time systems", to appear in IEEE Trans. Computers, 2003. Available online at: http://www.cs.pitt.edu/PARTS/papers/ieeetc_03.pdf.
[8]
{8} Y. Zhang et al, "Energy-aware fault tolerance in fixed-priority real-time embedded systems", Proc. ICCAD, pp. 209-214, 2003.
[9]
{9} E. N. Elnozahy et al, "The performance of consistent checkpointing", Proc. Reliable Distributed Systems, pp. 39-47, 1992.
[10]
{10} J. L. Hennessy and D. A. Patterson, Computer Architecture: A Quantitative Approach, Morgan Kaufmann Publishers, CA, 2002.
[11]
{11} J. S. Plank et al, "Libckpt: Transparent checkpointing under Unix", Proc. Usenix Tech. Conf., pp. 213-223, 1995.
[12]
{12} C.-Y. Lin et al, "A checkpointing tool for Palm operating system", Proc. DSN, pp. 71-76, 2001.
[13]
{13} A. Campbell et al, "Single event upset rates in space", IEEE Trans. Nuclear Science, vol. 39, pp. 1828-1835, Dec. 1992.
[14]
{14} D. Grunwald et al., "Policies for dynamic clock scheduling", Proc. Symp. OSDI, pp. 73-86, 2000.
[15]
{15} W. Ye et al, "The design and use of SimplePower: A cycle-accurate energy estimation tool", Proc. DAC, pp. 340-345, 2000.
[16]
{16} J. W. Liu, Real-Time Systems, Prentice Hall, Upper Saddle River, NJ, 2000.
[17]
{17} Intel® PXA26x Processor Family Electrical, Mechanical, and Thermal Specification Datasheet: http://developer.intel.com.
[18]
{18} Transmeta LongRun Power Management - Dynamic Power Management for Crusoe Processors: http://www.transmeta.com.
[19]
{19} T. Simunic et al, "Event-driven power management", IEEE Trans. CAD, vol. 20, pp. 840-857, July 2001.

Cited By

View all
  • (2015)An online wear state monitoring methodology for off-the-shelf embedded processorsProceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis10.5555/2830840.2830853(114-123)Online publication date: 4-Oct-2015
  • (2011)Reliability-aware dynamic energy management in dependable embedded real-time systemsACM Transactions on Embedded Computing Systems10.1145/1880050.188006210:2(1-27)Online publication date: 7-Jan-2011
  • (2010)Optimized self-tuning for circuit agingProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871064(586-591)Online publication date: 8-Mar-2010
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '04: Proceedings of the conference on Design, automation and test in Europe - Volume 2
February 2004
606 pages
ISBN:0769520855

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 16 February 2004

Check for updates

Qualifiers

  • Article

Conference

DATE04
Sponsor:

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 26 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2015)An online wear state monitoring methodology for off-the-shelf embedded processorsProceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis10.5555/2830840.2830853(114-123)Online publication date: 4-Oct-2015
  • (2011)Reliability-aware dynamic energy management in dependable embedded real-time systemsACM Transactions on Embedded Computing Systems10.1145/1880050.188006210:2(1-27)Online publication date: 7-Jan-2011
  • (2010)Optimized self-tuning for circuit agingProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871064(586-591)Online publication date: 8-Mar-2010
  • (2010)Reliability aware power management for dual-processor real-time embedded systemsProceedings of the 47th Design Automation Conference10.1145/1837274.1837480(819-824)Online publication date: 13-Jun-2010
  • (2008)Feedback-controlled reliability-aware power management for real-time embedded systemsProceedings of the 45th annual Design Automation Conference10.1145/1391469.1391517(185-190)Online publication date: 8-Jun-2008
  • (2007)Transition-overhead-aware voltage scheduling for fixed-priority real-time systemsACM Transactions on Design Automation of Electronic Systems10.1145/1230800.123080312:2(11-es)Online publication date: 1-Apr-2007
  • (2006)Performance optimization for energy-aware adaptive checkpointing in embedded real-time systemsProceedings of the conference on Design, automation and test in Europe: Proceedings10.5555/1131481.1131673(678-683)Online publication date: 6-Mar-2006
  • (2006)Online task-scheduling for fault-tolerant low-energy real-time systemsProceedings of the 2006 IEEE/ACM international conference on Computer-aided design10.1145/1233501.1233607(522-527)Online publication date: 5-Nov-2006
  • (2005)Energy efficient configuration for qos in reliable parallel serversProceedings of the 5th European conference on Dependable Computing10.1007/11408901_9(122-139)Online publication date: 20-Apr-2005

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media