Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1289816.1289846acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Three-dimensional multiprocessor system-on-chip thermal optimization

Published: 30 September 2007 Publication History

Abstract

3D stacked wafer integration has the potential to improve multiprocessor system-on-chip (MPSoC) integration density, performance, and power efficiency. However, the power density of 3D MPSoCs increases with the number of active layers, resulting in high chip temperatures. This can reduce system reliability, reduce performance, and increase cooling cost. Thermal optimization for 3D MPSoCs imposes numerous challenges. It is difficult to manage assignment and scheduling of heterogeneous workloads to maintain thermal safety. In addition, the thermal characteristics of 3D MPSoCs differ from those of 2D MPSoCs because each stacked layer has a different thermal resistance to the ambient and vertically-adjacent processors have strong temperature correlation. We propose a 3D MPSoC thermal optimization algorithm that conducts task assignment, scheduling, and voltage scaling. A power balancing algorithm is initially used to distribute tasks among cores and active layers. Detailed thermal analysis is used to guide a hotspot mitigation algorithm that incrementally reduces the peak MPSoC temperature by appropriately adjusting task execution times and voltage levels. The proposed algorithm considers leakage power consumption and adapts to inter-layer thermal heterogeneity. Performance evaluation on a set of multiprogrammed and multithreaded benchmarks indicates that the proposed techniques can optimize 3DMPSoC power consumption, power profile, and chip peak temperature.

References

[1]
E. Milchman, "Intel dual-core FAQ," Wired News, July 2006.
[2]
S. Y. Borkar, et al., "Platform 2015: Intel processor and platform evolution for the next decade," Intel Corporation, Tech. Rep., Mar. 2005.
[3]
W.-L. Hung, et al., "Interconnect and thermal-aware floorplanning for 3D microprocessors," in Proc. Int. Symp. Quality of Electronic Design, Mar. 2006, pp. 98--104.
[4]
A. W. Topol, et al., "Three-dimensional integrated circuits," IBM J. Research and Development, vol. 4, 2006.
[5]
B. Black, et al., "Die stacking (3d) microarchitecture," in Proc. Int. Symp. Microarchitecture, Dec. 2006, pp. 469--479.
[6]
Samsung, http://www.samsung.com/PressCenter/PressRelease/PressRelease.asp?seq=20060413 0000246668.
[7]
Tezzaron, http://www.tezzaron.com/technology/FaStack.htm.
[8]
W. M. Bulkeley, "IBM touts breakthrough in 3-d chips," The Wall Street J., Apr. 2007.
[9]
A. Jerraya, H. Tenhunen, and W. Wolf, "Multiprocessor systems-on-chips," IEEE Computer, vol. 38, no. 7, pp. 36--40, July 2005.
[10]
D. Lyonnard, et al., "Automatic generation of application-specific architectures for hetereogeneous multiprocessor system-on-chip," in Proc. Design Automation Conf., June 2001, pp. 518--523.
[11]
G. Qu and M. Potkonjak, "System synthesis of synchronous multimedia applications," ACM Trans. Embedded Computing Systems, pp. 74--97, Feb. 2003.
[12]
T. Givargis, F. Vahid, and J. Henkel, "System-level exploration for Pareto-optimal configurations in parameterized systems-on-a-chip," in Proc. Int. Conf. Computer-Aided Design, Nov. 2001, pp. 25--30.
[13]
C. Lee and S. Ha, "Hardware-software cosynthesis of multitask MPSoCs with real-time constraints," in Proc. Int. Conf. ASIC, Oct. 2005, pp. 919--924.
[14]
M. T. Schmitz, B. M. Al-Hashimi, and P. Eles, "Energy-efficient mapping and scheduling for DVS enabled distributed embedded systems," in Proc. Design, Automation & Test in Europe Conf., Feb. 2002, pp. 514--521.
[15]
A. Mishra and P. Banerjee, "An algorithm-based error detection scheme for the multigrid method," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 52, no. 9, pp. 1089--1099, Sept. 2003.
[16]
J. Hu, et al., "Architecting voltage islands in core-based system-on-a-chip designs," in Proc. Int. Symp. Low Power Electronics & Design, Aug. 2004, pp. 180--185.
[17]
K. Skadron, et al., "Temperature-aware microarchitecture," in Proc. Int. Symp. Computer Architecture, June 2003, pp. 2--13.
[18]
P. Li, et al., "Efficient full-chip thermal modeling and analysis," in Proc. Int. Conf. Computer-Aided Design, Nov. 2004, pp. 319--326.
[19]
Y. Yang, et al., "ISAC: Integrated Space and Time Adaptive Chip-Package Thermal Analysis," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Jan. 2007.
[20]
R. Mukherjee, S. O. Memik, and G. Memik, "Temperature-aware resource allocation and binding in high-level synthesis," in Proc. Design Automation Conf., June 2005.
[21]
Z. P. Gu, et al., "TAPHS: Thermal-Aware Unified Physical-Level and High-Level Synthesis," in Proc. Asia & South Pacific Design Automation Conf., Jan. 2006, pp. 879--885.
[22]
G. Paci, et al., "Exploring "temperature-aware design" in low-power MPSoCs," in Proc. Design, Automation & Test in Europe Conf., Mar. 2006.
[23]
Y. Xie and W.-L. Hung, "Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (MPSoC) design," J. VLSI Signal Processing, vol. 45, no. 3, pp. 177--189, Dec. 2006.
[24]
J. Cong, J. Wei, and Y. Zhang, "A thermal-driven floorplanning algorithm for 3D ICs," in Proc. Int. Conf. Computer-Aided Design, Nov. 2004, pp. 306--313.
[25]
B. Goplen and S. Sapatnekar, "Efficient thermal placement of standard cells in 3D ICs using a force directed approach," in Proc. Int. Conf. Computer-Aided Design, Nov. 2003, pp. 86--89.
[26]
Y. Liu, et al., "Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy," in Proc. Design, Automation & Test in Europe Conf., Mar. 2007, pp. 204--209.
[27]
K. A. Bowman, et al., "A physical alpha-power law MOSFET model," IEEE J. Solid-State Circuits, vol. 34, pp. 1410--1414, Oct. 1999.
[28]
N. L. Binkert, et al., "The M5 simulator: Modeling networked systems," Proc. Int. Symp. Microarchitecture, vol. 26, no. 4, pp. 52--60, 2006.

Cited By

View all

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
CODES+ISSS '07: Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
September 2007
284 pages
ISBN:9781595938244
DOI:10.1145/1289816
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 30 September 2007

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. 3D
  2. multiprocessor system-on-chip
  3. synthesis
  4. thermal

Qualifiers

  • Article

Conference

ESWEEK07
ESWEEK07: Third Embedded Systems Week
September 30 - October 3, 2007
Salzburg, Austria

Acceptance Rates

Overall Acceptance Rate 280 of 864 submissions, 32%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)13
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2021)Mapping techniques in multicore processors: current and future trendsThe Journal of Supercomputing10.1007/s11227-021-03650-6Online publication date: 5-Feb-2021
  • (2020)Change Detection and Notification of Web PagesACM Computing Surveys10.1145/336987653:1(1-35)Online publication date: 6-Feb-2020
  • (2020)Decentralized Trust ManagementACM Computing Surveys10.1145/336216853:1(1-33)Online publication date: 6-Feb-2020
  • (2019)Approximate Data Reuse-based Accelerator Design for Embedded ProcessorACM Transactions on Design Automation of Electronic Systems10.1145/334209824:5(1-25)Online publication date: 21-Aug-2019
  • (2019)Stress-Induced Performance Shifts in 3D DRAMsACM Transactions on Design Automation of Electronic Systems10.1145/333152724:5(1-21)Online publication date: 26-Jun-2019
  • (2019)Revealing Cluster Hierarchy in Gate-level ICs Using Block Diagrams and Cluster Estimates of Circuit EmbeddingsACM Transactions on Design Automation of Electronic Systems10.1145/332908124:5(1-19)Online publication date: 12-Jun-2019
  • (2019)On Runtime Communication and Thermal-Aware Application Mapping and Defragmentation in 3D NoC SystemsIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2019.292154230:12(2775-2789)Online publication date: 1-Dec-2019
  • (2018)Simulation-based uncertainty correlation modeling in reliability analysisProceedings of the Institution of Mechanical Engineers, Part O: Journal of Risk and Reliability10.1177/1748006X18758720232:6(725-737)Online publication date: 19-Mar-2018
  • (2018)The h2m-AutoFortran tool for facilitating C-Fortran interoperabilityACM SIGPLAN Fortran Forum10.1145/3266145.326614737:2(8-18)Online publication date: 8-Aug-2018
  • (2018)STEM: A Thermal-Constrained Real-Time Scheduling for 3D Heterogeneous-ISA Multicore ProcessorsIEEE Transactions on Computers10.1109/TC.2017.278394167:6(874-889)Online publication date: 1-Jun-2018
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media