Nothing Special   »   [go: up one dir, main page]

skip to main content
article

Compilation for compact power-gating controls

Published: 01 September 2007 Publication History

Abstract

Power leakage constitutes an increasing fraction of the total power consumption in modern semiconductor technologies due to the continuing size reductions and increasing speeds of transistors. Recent studies have attempted to reduce leakage power using integrated architecture and compiler power-gating mechanisms. This approach involves compilers inserting instructions into programs to shut down and wake up components, as appropriate. While early studies showed this approach to be effective, there are concerns about the large amount of power-control instructions being added to programs due to the increasing amount of components equipped with power-gating controls in SoC design platforms. In this article we present a sink-n-hoist framework for a compiler to generate balanced scheduling of power-gating instructions. Our solution attempts to merge several power-gating instructions into a single compound instruction, thereby reducing the amount of power-gating instructions issued. We performed experiments by incorporating our compiler analysis and scheduling policies into SUIF compiler tools and by simulating the energy consumption using Wattch toolkits. The experimental results demonstrate that our mechanisms are effective in reducing the amount of power-gating instructions while further reducing leakage power compared to previous methods.

References

[1]
Bellas, N., Hajj, I. N., and Polychronopoulos, C. D. 2000. Architectural and compiler techniques for energy reduction in high-performance microprocessors. IEEE Trans. on Very Large Scale Integr. Syst. 8, 3 (Jun.), 317--326.
[2]
Brooks, D., Tiwari, V., and Martonosi, M. 2000. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the International Symposium on Computer Architecture (Vancouver, Canada), 83--94.
[3]
Butts, J. A. and Sohi, G. S. 2000. A static power model for architects. In Proceedings of the Annual IEEE/ACM International Symposium on Microarchitecture (Monterey, CA), 191--201.
[4]
Chandrakasan, A. P., Sheng, S., and Brodersen, R. W. 1992. Low-Power CMOS digital design. IEEE J. Solid-State Circ. 27, 4, 473--484.
[5]
Chang, J.-M. and Pedram, M. 1995. Register allocation and binding for low power. In Proceedings of the Design Automaton Conference (San Francisco, CA), 29--35.
[6]
Compaq Computer Corp. 1999. Alpha 21264 Microprocessor Hardware Reference Manual.
[7]
Doyle, B., Arghavani, R., Barlage, D., Datta, S., Doczy, M., Kavalieros, J., Murthy, A., and Chau, R. 2002. Transistor elements for 30 nm physical gate lengths and beyond. Intel Technol. J. 6, 2 (May), 42--54.
[8]
Dropsho, S., Kursun, V., Albonesi, D. H., Dwarkadas, S., and Friedman, E. G. 2002. Managing static leakage energy in microprocessor functional units. In Proceedings of the 35th International Symposium on Microarchitecture (MICRO) (Istanbul, Turkey), 321--332.
[9]
Feremans, C., Labbé, M., and Laporte, G. 2003. Generalized network design problems. Eur. J. Oper. Res. 148, 1--13.
[10]
Gonzalez, R. E. 2000. Xtensa: A configurable and extensible processor. IEEE Micro. 20, 2, 60--70.
[11]
Horowitz, M., Indermaur, T., and Gonzalez, R. 1994. Low-Power digital design. In Proceedings of the IEEE Symposium on Low Power Electronics (San Diego, CA), 8--11.
[12]
Hu, Z., Buyuktosunoglu, A., Srinivasan, V., Zyuban, V., Jacobson, H., and Bose, P. 2004. Microarchitectural techniques for power gating of execution units. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED) (Newport Beach, CA), 32--37.
[13]
Ip, H., Low, J., Cheung, P. Y. K., Constantinides, G. A., Luk, W., Seng, S. P., and Metzgen, P. 2002. Strassen's matrix multiplication for customisable processors. In Proceedings of the IEEE International Conference on Field-Programmable Technology (FPT) (Hong Kong), 453--456.
[14]
Jones, R. 2004. Modeling and design techniques reduce 90 nm power. EE Times. http://www.eetimes.com/showArticle.jhtml?articleID=26806450.
[15]
Kao, J. T. and Chandrakasan, A. P. 2000. Dual-Threshold voltage techniques for low-power digital circuits. IEEE J. Solid-State Circ. 35, 7, 1009--1018.
[16]
Karnik, T., Borkar, S., and De, V. 2002. Sub-90nm technologies---Challenges and opportunities for CAD. In Proceedings of the International Conference on Computer-Aided Design (ICCAD) (San Jose, CA), 203--206.
[17]
Kim, N. S., Austin, T., Blaauw, D., Mudge, T., Flautner, K., Hu, J. S., Irwin, M. J., Kandemir, M., and Narayanan, V. 2003. Leakage current: Moore's law meets static power. IEEE Comput. 36, 12, 68--75.
[18]
Koster, A. M., van Hoesel, S. P., and Kolen, A. W. 1998. The partial constraint satisfaction problem: Facets and lifting theorems. Oper. Res. Lett. 23, 89--97.
[19]
Lee, C., Lee, J. K., Hwang, T.-T., and Tsai, S.-C. 2003. Compiler optimizations on VLIW instruction scheduling for low power. ACM Trans. Des. Autom. Electron. Syst. 8, 2, 252--268.
[20]
Lee, M. T.-C., Tiwari, V., Malik, S., and Fujita, M. 1997. Power analysis and minimization techniques for embedded DSP software. IEEE Trans. Very Large Scale Integr. Syst. 5, 1 (Mar.), 123--133.
[21]
Rele, S., Pande, S., Onder, S., and Gupta, R. 2002. Optimizing static power dissipation by functional units in superscalar processors. In Proceedings of the 11th International Conference on Compiler Construction (CC) (Grenoble, France), 261--275.
[22]
Roy, K. and Prasad, S. C. 1992. SYCLOP: Synthesis of CMOS logic for low power applications. In Proceedings of the IEEE International Conference on Computer Design (Cambridge, MA), 464--467.
[23]
Semiconductor Industry Assoc. 2004. International technology roadmap for semiconductors.
[24]
Smith, M. D. 1998. The SUIF Machine Library. Division of of Engineering and Applied Science, Harvard University.
[25]
Stanford Compiler Group. 1995. The SUIF Library. Stanford Compiler Group, Stanford University.
[26]
Su, C.-L. and Despain, A. M. 1995. Cache designs for energy efficiency. In Proceedings of the 28th Annual Hawaii International Conference on System Sciences (Los Angeles, CA), 306--315.
[27]
Tiwari, V., Singh, D., Rajgopal, S., Mehta, G., Patel, R., and Baez, F. 1998. Reducing power in high-performance microprocessors. In Proceedings of the Design Automaton Conference (San Francisco, CA), 732--737.
[28]
Tiwari, V., Donnelly, R., Malik, S., and Gonzalez, R. 1997. Dynamic power management for microprocessors: A case study. In Proceedings of the International Conference on VLSI Design (Hyderabad, India), 185--192.
[29]
Tsutsui, H., Masuzaki, T., Izumi, T., Onoye, T., and Nakamura, Y. 2002. High speed JPEG2000 encoder by configurable processor. In Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems (APCCAS) (Singapore), 45--50.
[30]
Yang, H., Govindarajan, R., Gao, G. R., Cai, G., and Hu, Z. 2002. Exploiting schedule slacks for rate-optimal power-minimum software pipelining. In Proceedings of the 3rd Workshop on Compilers and Operating Systems for Low Power (COLP) (Charlottesville, VA).
[31]
You, Y.-P., Lee, C., and Lee, J. K. 2006. Compilers for leakage power reduction. ACM Trans. Des. Autom. of Electron. Syst. 11, 1 (Jan.), 147--164.
[32]
You, Y.-P., Lee, C., and Lee, J. K. 2002. Compiler analysis and supports for leakage power reduction on microprocessors. In Proceedings of the International Workshop on Languages and Compilers for Parallel Computing (LCPC) (Washington, DC), 63--73. Lecture Notes in Computer Science, vol. 2481, Springer.
[33]
Zhang, W., Hu, J. S., Degalahal, V., Kandemir, M., Vijaykrishnan, N., and Irwin, M. J. 2004. Reducing instruction cache energy consumption using a compiler-based strategy. ACM Trans. Architect. Code Optimi. 1, 1 (Mar.), 3--33.
[34]
Zhang, W., Kandemir, M. T., Vijaykrishnan, N., Irwin, M. J., and De, V. 2003. Compiler support for reducing leakage energy consumption. In Proceedings of the 6th Design Automation and Test in Europe Conference (DATE) (Messe Munich, Germany), 1146--1147.
[35]
Zivojnovic, V., Martinez, J., Schlager, C., and Meyr, H. 1994. DSPstone: A DSP-Oriented benchmarking methodology. In Proceedings of the International Conference on Signal Processing and Technology (ICSPAT) (Dallas, TX), 715--720.

Cited By

View all
  • (2024)Rewriting and Optimizing Vector Length Agnostic Intrinsics from Arm SVE to RVVWorkshop Proceedings of the 53rd International Conference on Parallel Processing10.1145/3677333.3678151(38-47)Online publication date: 12-Aug-2024
  • (2020)Risk-5: Controlled approximations for RISC-VIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.3012312(1-1)Online publication date: 2020
  • (2020)Compiler-Directed Parallelism Scaling Framework for Performance Constrained Energy OptimizationIEEE Access10.1109/ACCESS.2019.29615688(1733-1754)Online publication date: 2020
  • Show More Cited By

Index Terms

  1. Compilation for compact power-gating controls

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 12, Issue 4
    September 2007
    449 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/1278349
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 01 September 2007
    Published in TODAES Volume 12, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Compilers for low power
    2. balanced scheduling
    3. data-flow analysis
    4. leakage-power reduction
    5. power-gating mechanisms

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)11
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 18 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Rewriting and Optimizing Vector Length Agnostic Intrinsics from Arm SVE to RVVWorkshop Proceedings of the 53rd International Conference on Parallel Processing10.1145/3677333.3678151(38-47)Online publication date: 12-Aug-2024
    • (2020)Risk-5: Controlled approximations for RISC-VIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.3012312(1-1)Online publication date: 2020
    • (2020)Compiler-Directed Parallelism Scaling Framework for Performance Constrained Energy OptimizationIEEE Access10.1109/ACCESS.2019.29615688(1733-1754)Online publication date: 2020
    • (2020)Compiler Optimizing for Power Efficiency of On-Chip MemoryAdvanced Computer Architecture10.1007/978-981-15-8135-9_21(290-303)Online publication date: 5-Sep-2020
    • (2018)Enabling energy-proportional computing on instruction-level parallel processorsThe Journal of Supercomputing10.5555/2733630.273365471:2(391-447)Online publication date: 31-Dec-2018
    • (2018)Rescheduling of Power Gating Instructions for Reduction of In-rush Current2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID)10.1109/VLSID.2018.32(25-30)Online publication date: Jan-2018
    • (2017)Compiler-Guided Parallelism Adaption Based on Application Partition for Power-Gated ILP ProcessorIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.263641925:4(1329-1341)Online publication date: 1-Apr-2017
    • (2015)The Design and Experiments of A SID-Based Power-Aware Simulator for Embedded Multicore SystemsACM Transactions on Design Automation of Electronic Systems10.1145/269983420:2(1-27)Online publication date: 2-Mar-2015
    • (2015)Compilers for Low Power with Design Patterns on Embedded Multicore SystemsJournal of Signal Processing Systems10.1007/s11265-014-0917-980:3(277-293)Online publication date: 1-Sep-2015
    • (2014)Compiler Optimization for Reducing Leakage Power in Multithread BSP ProgramsACM Transactions on Design Automation of Electronic Systems10.1145/266811920:1(1-34)Online publication date: 18-Nov-2014
    • Show More Cited By

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media