Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

Leakage Current: Moore's Law Meets Static Power

Published: 01 December 2003 Publication History

Abstract

Off-state leakage is static power, current that leaks through transistors even when they are turned off. The other source of power dissipation in today's microprocessors, dynamic power, arises from the repeated capacitance charge and discharge on the output of the hundreds of millions of gates in today'schips.Until recently, only dynamic power has been a significant source of power consumption, and Moore's law helped control it. However, power consumption has now become a primary microprocessor design constraint one that researchers in both industry and academia will struggle to overcome in the next few years.

References

[1]
R. Wilson and D. Lammers, "Grove Calls Leakage Chip Designers' Top Problem," EE Times, 13 Dec. 2002; www.eetimes.com/story/OEG20021213S0040.]]
[2]
Semiconductor Industry Assoc., International Technology Roadmap for Semiconductors, 2002 Update; http://public.itrs.net.]]
[3]
T. Mudge, "Power: A First-Class Architectural Design Constraint," Computer, Apr. 2001, pp. 52-58.]]
[4]
K. Nowka, et al., "A 0.9V to 1.95V Dynamic Voltage-Scalable and Frequency-Scalable 32b PowerPC Processor," Proc. Int'l Solid-State Circuits Conf. (ISSCC), IEEE Press, 2002, pp. 340-341.]]
[5]
A. Chandrakasan W. Bowhill and F. Fox, Design of High-Performance Microprocessor Circuits, IEEE Press, 2001.]]
[6]
N. Kim, et al., "Drowsy Instruction Caches: Leakage Power Reduction Using Dynamic Voltage Scaling and Cache Sub-bank Prediction," Proc. 35th Ann. Int'l Symp. Microarchitecture (MICRO-35), IEEE CS Press, 2002, pp. 219-230.]]
[7]
S. Kaxiras Z. Hu and M. Martonosi, "Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power," Proc. 28th Int'l Symp. Computer Architecture (ISCA 28), IEEE CS Press, 2001, pp. 240-251.]]
[8]
M. Powell, et al., "Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories," Proc. Int'l Symp. Low-Power Electronics and Design (ISLPED 00), ACM Press, 2000, pp. 90-95.]]
[9]
M. Powell, et al., "Reducing Leakage in a High-Performance Deep-Submicron Instruction Cache," IEEE Trans. VLSI, Feb. 2001, pp. 77-89.]]
[10]
K. Flautner, et al., "Drowsy Caches: Simple Techniques for Reducing Leakage Power," Proc. 29th Ann. Int'l Symp. Computer Architecture (ISCA 29), IEEE CS Press, 2002, pp. 148-157.]]
[11]
H. Kim and K. Roy, "Dynamic Vth SRAMs for Low Leakage," Proc. Int'l Symp. Low-Power Electronics and Design (ISLPED 02), ACM Press, 2002, pp. 251-254.]]
[12]
N. Azizi A. Moshovos and F.N. Najm, "Low-Leakage Asymmetric-Cell SRAM," Proc. Int'l Symp. Low-Power Electronics and Design (ISLPED 02), ACM Press, 2002, pp. 48-51.]]
[13]
W. Zhang, et al., "Compiler-Directed Instruction Cache Leakage Optimization," Proc. 35th Ann. Int'l Symp. Microarchitecture (MICRO-35), IEEE CS Press, 2002, pp. 208-218.]]
[14]
J. Hu, et al., "Exploiting Program Hotspots and Code Sequentiality for Instruction Cache Leakage Management," Proc. Int'l Symp. Low-Power Electronics and Design (ISLPED 03), ACM Press, 2003, pp. 402-407.]]

Cited By

View all
  • (2024)CoolDC: A Cost-Effective Immersion-Cooled Datacenter with Workload-Aware Temperature ScalingACM Transactions on Architecture and Code Optimization10.1145/366492521:3(1-27)Online publication date: 14-May-2024
  • (2024)Energy Management for Fault-tolerant (m,k)-constrained Real-time Systems That Use Standby-SparingACM Transactions on Embedded Computing Systems10.1145/364836523:3(1-36)Online publication date: 21-Feb-2024
  • (2024)A High-Accuracy and Low-Power Emerging Technology-Based Associative MemoryIEEE Transactions on Nanotechnology10.1109/TNANO.2024.338036823(293-298)Online publication date: 21-Mar-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image Computer
Computer  Volume 36, Issue 12
December 2003
136 pages

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 01 December 2003

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)CoolDC: A Cost-Effective Immersion-Cooled Datacenter with Workload-Aware Temperature ScalingACM Transactions on Architecture and Code Optimization10.1145/366492521:3(1-27)Online publication date: 14-May-2024
  • (2024)Energy Management for Fault-tolerant (m,k)-constrained Real-time Systems That Use Standby-SparingACM Transactions on Embedded Computing Systems10.1145/364836523:3(1-36)Online publication date: 21-Feb-2024
  • (2024)A High-Accuracy and Low-Power Emerging Technology-Based Associative MemoryIEEE Transactions on Nanotechnology10.1109/TNANO.2024.338036823(293-298)Online publication date: 21-Mar-2024
  • (2024)OS-Level PMC-Based Runtime Thermal Control for ARM Mobile CPUsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2024.336031943:7(2023-2036)Online publication date: 31-Jan-2024
  • (2023)Scheduling and energy savings for small scale embedded FreeRTOS-based real-time systemsDesign Automation for Embedded Systems10.1007/s10617-023-09267-727:1-2(3-29)Online publication date: 15-Mar-2023
  • (2022)Data Mining on Smartphones: An Introduction and SurveyACM Computing Surveys10.1145/352975355:5(1-38)Online publication date: 3-Dec-2022
  • (2021)A Survey Describing Beyond Si Transistors and Exploring Their Implications for Future ProcessorsACM Journal on Emerging Technologies in Computing Systems10.1145/345314317:3(1-44)Online publication date: 30-Jun-2021
  • (2021)CryoGuardProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00056(637-650)Online publication date: 14-Jun-2021
  • (2021)Learning-based BTI stress estimation and mitigation in multi-core processor systemsMicroprocessors & Microsystems10.1016/j.micpro.2020.10371381:COnline publication date: 1-Mar-2021
  • (2021)Estimating Operational Age of an Integrated CircuitJournal of Electronic Testing: Theory and Applications10.1007/s10836-021-05927-337:1(25-40)Online publication date: 1-Feb-2021
  • Show More Cited By

View Options

View options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media