Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1013235.1013271acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Location cache: a low-power L2 cache system

Published: 09 August 2004 Publication History

Abstract

While set-associative caches incur fewer misses than direct-mapped caches, they typically have slower hit times and higher power consumption, when multiple tag and data banks are probed in parallel. This paper presents the location cache structure which significantly reduces the power consumption for large set-associative caches. We propose to use a small cache, called location cache to store the location of future cache references. If there is a hit in the location cache, the supported cache is accessed as a direct-mapped cache. Otherwise, the supported cache is referenced as a conventional set-associative cache.The worst case access latency of the location cache system is the same as that of a conventional cache. The location cache is virtually indexed so that operations on it can be performed in parallel with the TLB address translation. These advantages make it ideal for L2 cache systems where traditional way-predication strategies perform poorly.We used the CACTI cache model to evaluate the power con-sumption and access latency of proposed cache architecture. Simplescalar CPU simulator was used to produce final results. It is shown that the proposed location cache architecture is power-efficient. In the simulated cache configurations, up-to 47% of cache accessing energy and 25% of average cache access latency can be reduced.

References

[1]
C. Su and A. Despain, "Cache design tradeoffs for power and performance optimization: A case study," in International Symposium on Low Power Electronics and Design, pp. 63--68, 1997.
[2]
K. Ghose and M. B. Kamble, "Reducing power in superscalar processor caches using subbanking, multiple line buffers and bit-line segmentation," in International Symposium on Low Power Electronics and Design, pp. 70--75, 1999.
[3]
U. Ko, P. T. Balsara, and A. K. Nanda, "Energy optimization of multi-level process cache architectures," in Prod. of the 1995 Internation Symposium on Low Power Design, pp. 45--49, 1995.
[4]
D. H. Albonesi, "Selective cache ways: on-demand cache resource allocation," in Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, pp. 248--259, 1999.
[5]
J. Kin, M. Gupta, and W. Mangione-Smith, "The filter cache: an energy efficient memory structure," in 30th Annual International Symposium on Microarchitecture (Micro '97), pp. 184--193, December 1997.
[6]
A. Hasegawa, I. Kawasaki, K. Yamada, S. Yoshioka, S. Kawasaki, and P. Biswas, "Sh3: High code density, low power," IEEE Micro, vol. 15, pp. 11--19, December 1995.
[7]
T. Lyon, E. Delano, C. McNairy, and D. Mulla, "Data cache design considerations for the itanium2 processor," in Proceedings of the 2002 IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD'02), pp. 356--362, 2002.
[8]
C. Zhang, F. Vahlid, and W. Najjar, "A highly configurable cache architecture for embedded systems," in The Prod. of the 30th Annual International Symposium on Computer Architecture (ISCA03), pp. 125--136, 2003.
[9]
G. Memik, G. Reinman, and W. Mangio-Smith, "Just say no: Benefits of early cache miss determination," in Prod. of the Ninth International Symposium on High-Performance Computer Architecture, pp. 307--316, 2003.
[10]
A. Agarwal, J. Hennesy, and M. Horowits, "Cache performance of operating systems and multiprogramming," in ACM Transactions on Computer Systems, pp. 393--431, November 1988.
[11]
A. Agarwal and S. D. Pudar, "Column-associative caches: a technique for reducing the miss rate of direct-mapped caches," in Proc. of the 35th annual International Syposium on Computer Architecture (ISCA), pp. 179--190, 1993.
[12]
J. H. Chang, H. Chao, and K. So., "Cache design of a sub-micron cmos system/370," in 14th Annual International Symposium on Computer Architecture, SIGARCH Newsletter, pp. 208--213, June 1987.
[13]
B. Calder, D. Grunwald, and J. Emer, "Predictive sequential associative cache," in Proc. of the 2nd IEEE Symposium on High-Performance Computer Architecture (HPCA '96), pp. 244--254, 1996.
[14]
T. N. Vijaykumar, "Reactive-associative caches," in International Conference on Parallel Architectures and Compiler Techinques (PACT'01), pp. 49--61, 2001.
[15]
S.Dropsho, A. Buyuktonsunoglu, D. H. A. R. Balasubramonian, G. S. S. Dwarkadas, G. Magklis, and M. Scott, "Integrating adaptive on-chip storage structures for reduced dynamic power," in International Conference on Parallel Architectures and Compilation Techniques (PACT02), pp. 190--202, 2002.
[16]
K. Inoue, T. Ishihara, and K. Murakami, "Way-predicting set-associative cache for high performance and low energy consumption," in International Symposium on Low Power Electronics and Design, pp. 273--275, 1999.
[17]
M. Powell, A. Agrawal, T. Vijaykumar, B. Falsafi, and K. Roy, "Reducing set-associative cache energy via way-prediction and selective direct-mapping," in 34th Annual International Symposium on Microarchitecture (MICRO'01), pp. 54--65, December 2001.
[18]
T. Juan, T. Lang, and J. J. Navarro, "The difference-bit cache," in Proc. of the 23rd annual international symposium on computer architecture, pp. 114--120, 1996.
[19]
L. Liu, "Cache designs with partial address matching," in Proc. of the 27 Internaltional symposium on microarchitecture, pp. 128--136, 1994.
[20]
K. A., N. Chander, P. S., and J. L., "Modeling and analysis of the difference-bit cache," in Proc. of the 8th Great Lakes Symposium on VLSI, pp. 140--145, 1998.
[21]
Z. Hu, S. Kaxiras, and M. Martonosi, "Let caches decay: reducing leakage energy via exploitation of cache generational behavior," ACM Transactions on Computer Systems, vol. 20, no. 11, pp. 161--190, 2002.
[22]
M. Zhang and K. Asanovic, "Fine-grain cam-tag cache resizing using miss tags," in Proceedings of the 2002 international symposium on Low power electronics and design(ISLPED'02), pp. 130--135, 2002.
[23]
K. Flautner, N. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy caches: Simple techniques for reducing leakage power," in International Symposium on Computer Architecture, pp. 148--158, June 2002.
[24]
H. Zhou, M. C. Toburen, E. Rotenberg, and T. M. Conte, "Adaptive mode control: A static-power-efficient cache design," in International Conference on Parallel Architectures and Compilation Techniques (PACT'01), (Barcelona, Spain), pp. 61--73, September 2001.
[25]
V. Moshnyaga and H. Tsuji, "Cache energy reduction by dual voltage supply," in The 2001 IEEE International Symposium on Circuits and Systems (ISCAS 2001), pp. 922--925, May 2001.
[26]
J. Yang and R. Gupta, "Energy efficient frequent value data cache design," in IEEE/ACM 35th International Symposium on Microarchitecture (MICRO), pp. 197--207, nov. 2002.

Cited By

View all
  • (2021)Energy-Efficient Shared Cache Using Way Prediction Based on Way Access Dominance DetectionIEEE Access10.1109/ACCESS.2021.31267399(155048-155057)Online publication date: 2021
  • (2019)An Energy Efficient Multilevel Reconfigurable parallel Cache Architecture for Embedded Multicore Processors2019 International Conference on Electrical, Electronics and Computer Engineering (UPCON)10.1109/UPCON47278.2019.8980197(1-6)Online publication date: Nov-2019
  • (2016)ReferencesModeling and Optimization of Parallel and Distributed Embedded Systems10.1002/9781119086383.refs(349-368)Online publication date: 8-Jan-2016
  • Show More Cited By

Index Terms

  1. Location cache: a low-power L2 cache system

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '04: Proceedings of the 2004 international symposium on Low power electronics and design
    August 2004
    414 pages
    ISBN:1581139292
    DOI:10.1145/1013235
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 09 August 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. L1/L2 caches
    2. TLB
    3. data location
    4. power
    5. set-associative caches

    Qualifiers

    • Article

    Conference

    ISLPED04
    Sponsor:
    ISLPED04: International Symposium on Low Power Electronics and Design
    August 9 - 11, 2004
    California, Newport Beach, USA

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)19
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 02 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)Energy-Efficient Shared Cache Using Way Prediction Based on Way Access Dominance DetectionIEEE Access10.1109/ACCESS.2021.31267399(155048-155057)Online publication date: 2021
    • (2019)An Energy Efficient Multilevel Reconfigurable parallel Cache Architecture for Embedded Multicore Processors2019 International Conference on Electrical, Electronics and Computer Engineering (UPCON)10.1109/UPCON47278.2019.8980197(1-6)Online publication date: Nov-2019
    • (2016)ReferencesModeling and Optimization of Parallel and Distributed Embedded Systems10.1002/9781119086383.refs(349-368)Online publication date: 8-Jan-2016
    • (2014)The Direct-to-Data (D2D) cacheProceeding of the 41st annual international symposium on Computer architecuture10.5555/2665671.2665694(133-144)Online publication date: 14-Jun-2014
    • (2014)The Direct-to-Data (D2D) cacheACM SIGARCH Computer Architecture News10.1145/2678373.266569442:3(133-144)Online publication date: 14-Jun-2014
    • (2014)Tag check elisionProceedings of the 2014 international symposium on Low power electronics and design10.1145/2627369.2627606(351-356)Online publication date: 11-Aug-2014
    • (2014)A queueing theoretic approach for performance evaluation of low-power multi-core embedded systemsJournal of Parallel and Distributed Computing10.1016/j.jpdc.2013.07.00374:1(1872-1890)Online publication date: 1-Jan-2014
    • (2013)Data filter cache with word selection cache for low power embedded processorProceedings of the 2013 Research in Adaptive and Convergent Systems10.1145/2513228.2513258(422-427)Online publication date: 1-Oct-2013
    • (2013)An energy-efficient L2 cache architecture using way tag information under write-through policyIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.218187921:1(102-112)Online publication date: 1-Jan-2013
    • (2009)An energy-delay efficient 2-level data cache architecture for embedded systemProceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design10.1145/1594233.1594318(343-346)Online publication date: 19-Aug-2009
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media