Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/266800.266818acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free access

The filter cache: an energy efficient memory structure

Published: 01 December 1997 Publication History

Abstract

Most modern microprocessors employ one or two levels of on-chip caches in order to improve performance. These caches are typically implemented with static RAM cells and often occupy a large portion of the chip area. Not surprisingly, these caches often consume a significant amount of power. In many applications, such as portable devices, low power is more important than performance. We propose to trade performance for power consumption by filtering cache references through an unusually small L1 cache. An L2 cache, which is similar in size and structure to a typical L1 cache, is positioned behind the filter cache and serves to reduce the performance loss. Experimental results across a wide range of embedded applications show that the filter cache results in improved memory system energy efficiency. For example, a direct mapped 256-byte filter cache achieves a 58% power reduction while reducing performance by 21%, corresponding to a 51% reduction in the energy-delay product over conventional design.

References

[1]
D. A. Patterson and I. L. Hennessy, "Large and Fast: Exploiting Memory Hierarchy," in Computer Organization & Design The Hardware/Software Interface: Morgan Kaufmann, 1994.
[2]
J. Montanaro and e. al., "A 160MHz 32b 0.5W CMOS RISC Microprocessor," Proc. of international Solid-State Circuits Conference, 1996.
[3]
R. Bechade and e. al., "A 32b 66MHz 1.8W microprocessor," Proc. of International Solid-State Circuits Conference, 1994.
[4]
R. Gonzalez and M. Horowitz, "Energy Dissipation in General Purpose Microprocessors," IEEE Journal of Solid State Circuits, vol. 31, pp. 1277-1284, 1996.
[5]
M. B. Kamble and K. Ghose, "Energy-Efficiency of VLSI Caches: 'A Comparative Study," Proc. of International Conference on VLSI Design, 1997.
[6]
M. B. Kamble and K. Ghose, "Analytical Energy Dissipation Models for Low Power Caches," Proc. of International Symposium on Low-Power Electronics and Design, 1997.
[7]
S.E. Wilton and N. Jouppi, "An Enhanced Access and Cycle Time Model for On-Chip Caches," DEC WRL, Research Report 93/5, 1994.
[8]
C. Su and A. Despain, "Cache Design Tradeoffs for Power and Performance Optimization: A Case Study," Proc. of International Symposium on Low Power Design, 1995.
[9]
U. Ko, P. T. Balsara, and A. K. Nanda, "Energy Optimization of Multi-Level Processor Cache Architectures," Proc. of international Symposium on Low Power Design, 1995.
[10]
J. Turley, "ARM Grabs Embedded Speed Lead," in Microprocessor Report, vol. 10, 1996.
[11]
P. P. Chang, S. A. Mahlke, W. Y. Chen, Iq. J. Warter, and W.-m. W. Hwu, "IMPACT: An Architectural Framework for Multiple-Instruction- Issue Processors," Proc. of International Symposium on Computer Architecture, 1991.
[12]
C. Lee, M. Potkonjak, and W. H. Mangione- Smith, "MediaBench: A Tool for Evaluating Multimedia and Communications Systems," Proc. of Micro 30, 1997.

Cited By

View all
  • (2020)MuonTrapProceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture10.1109/ISCA45697.2020.00022(132-144)Online publication date: 30-May-2020
  • (2018)Decoupling address generation from loads and stores to improve data access energy efficiencyACM SIGPLAN Notices10.1145/3299710.321134053:6(65-75)Online publication date: 19-Jun-2018
  • (2018)Decoupling address generation from loads and stores to improve data access energy efficiencyProceedings of the 19th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems10.1145/3211332.3211340(65-75)Online publication date: 19-Jun-2018
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
MICRO 30: Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture
December 1997
369 pages
ISBN:0818679778

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 01 December 1997

Check for updates

Author Tags

  1. L1 cache
  2. L2 cache
  3. direct mapped 256-byte filter cache
  4. embedded applications
  5. energy efficient memory structure
  6. filter cache
  7. microprocessor chips
  8. microprocessors
  9. on-chip caches
  10. power reduction
  11. static RAM

Qualifiers

  • Article

Conference

MICRO97
Sponsor:
MICRO97: 30th Annual International Symposium on Microarchitecture
December 1 - 3, 1997
North Carolina, Research Triangle Park, USA

Acceptance Rates

MICRO 30 Paper Acceptance Rate 35 of 103 submissions, 34%;
Overall Acceptance Rate 484 of 2,242 submissions, 22%

Upcoming Conference

MICRO '24

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)52
  • Downloads (Last 6 weeks)9
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2020)MuonTrapProceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture10.1109/ISCA45697.2020.00022(132-144)Online publication date: 30-May-2020
  • (2018)Decoupling address generation from loads and stores to improve data access energy efficiencyACM SIGPLAN Notices10.1145/3299710.321134053:6(65-75)Online publication date: 19-Jun-2018
  • (2018)Decoupling address generation from loads and stores to improve data access energy efficiencyProceedings of the 19th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, and Tools for Embedded Systems10.1145/3211332.3211340(65-75)Online publication date: 19-Jun-2018
  • (2018)HetCoreProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00072(802-815)Online publication date: 2-Jun-2018
  • (2017)Optimizing General-Purpose CPUs for Energy-Efficient Mobile Web ComputingACM Transactions on Computer Systems10.1145/304102435:1(1-31)Online publication date: 20-Mar-2017
  • (2016)Redesigning a tagless access buffer to require minimal ISA changesProceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems10.1145/2968455.2968504(1-10)Online publication date: 1-Oct-2016
  • (2015)A Survey of Architectural Techniques for Near-Threshold ComputingACM Journal on Emerging Technologies in Computing Systems10.1145/282151012:4(1-26)Online publication date: 28-Dec-2015
  • (2015)Improving Data Access Efficiency by Using Context-Aware Loads and StoresACM SIGPLAN Notices10.1145/2808704.275496050:5(1-10)Online publication date: 4-Jun-2015
  • (2015)Improving Data Access Efficiency by Using Context-Aware Loads and StoresProceedings of the 16th ACM SIGPLAN/SIGBED Conference on Languages, Compilers and Tools for Embedded Systems 2015 CD-ROM10.1145/2670529.2754960(1-10)Online publication date: 4-Jun-2015
  • (2015)Improving Performance in Sub-Block Caches with Optimized Replacement PoliciesACM Journal on Emerging Technologies in Computing Systems10.1145/266812711:4(1-22)Online publication date: 27-Apr-2015
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media