KR102594483B1 - 마이크로전자 어셈블리들 - Google Patents
마이크로전자 어셈블리들 Download PDFInfo
- Publication number
- KR102594483B1 KR102594483B1 KR1020227046430A KR20227046430A KR102594483B1 KR 102594483 B1 KR102594483 B1 KR 102594483B1 KR 1020227046430 A KR1020227046430 A KR 1020227046430A KR 20227046430 A KR20227046430 A KR 20227046430A KR 102594483 B1 KR102594483 B1 KR 102594483B1
- Authority
- KR
- South Korea
- Prior art keywords
- die
- interconnect
- layer
- interconnects
- insulating material
- Prior art date
Links
- 238000004377 microelectronic Methods 0.000 title claims abstract description 102
- 230000000712 assembly Effects 0.000 title abstract description 34
- 238000000429 assembly Methods 0.000 title abstract description 34
- 239000000758 substrate Substances 0.000 claims abstract description 124
- 238000000034 method Methods 0.000 claims abstract description 87
- 239000011810 insulating material Substances 0.000 claims description 65
- 238000004519 manufacturing process Methods 0.000 claims description 21
- 230000008878 coupling Effects 0.000 claims description 17
- 238000010168 coupling process Methods 0.000 claims description 17
- 238000005859 coupling reaction Methods 0.000 claims description 17
- 239000010410 layer Substances 0.000 description 192
- 239000000463 material Substances 0.000 description 70
- 239000011231 conductive filler Substances 0.000 description 59
- 229910052751 metal Inorganic materials 0.000 description 53
- 239000002184 metal Substances 0.000 description 53
- 239000011295 pitch Substances 0.000 description 50
- 239000003989 dielectric material Substances 0.000 description 45
- 230000008569 process Effects 0.000 description 37
- 229910000679 solder Inorganic materials 0.000 description 37
- 239000004020 conductor Substances 0.000 description 29
- 238000004891 communication Methods 0.000 description 26
- 229910052802 copper Inorganic materials 0.000 description 23
- 239000010949 copper Substances 0.000 description 23
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 21
- 238000012545 processing Methods 0.000 description 18
- 229910052718 tin Inorganic materials 0.000 description 16
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 15
- 239000004065 semiconductor Substances 0.000 description 15
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 14
- 230000006870 function Effects 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 11
- 229910052710 silicon Inorganic materials 0.000 description 11
- 239000010703 silicon Substances 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 150000002739 metals Chemical class 0.000 description 10
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 9
- 239000002131 composite material Substances 0.000 description 9
- 229910052709 silver Inorganic materials 0.000 description 9
- 239000004332 silver Substances 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 239000004593 Epoxy Substances 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 229910052759 nickel Inorganic materials 0.000 description 7
- 239000004642 Polyimide Substances 0.000 description 6
- 239000003990 capacitor Substances 0.000 description 6
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 6
- 229910052737 gold Inorganic materials 0.000 description 6
- 239000010931 gold Substances 0.000 description 6
- 239000011317 mixed pitch Substances 0.000 description 6
- 229920001721 polyimide Polymers 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- 229910045601 alloy Inorganic materials 0.000 description 5
- 239000000956 alloy Substances 0.000 description 5
- 229910052782 aluminium Inorganic materials 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 238000013459 approach Methods 0.000 description 5
- 229910052797 bismuth Inorganic materials 0.000 description 5
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 5
- 239000011521 glass Substances 0.000 description 5
- 239000011159 matrix material Substances 0.000 description 5
- 125000006850 spacer group Chemical group 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 239000000654 additive Substances 0.000 description 4
- 239000000853 adhesive Substances 0.000 description 4
- 230000001070 adhesive effect Effects 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 239000003822 epoxy resin Substances 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 229910052738 indium Inorganic materials 0.000 description 4
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 4
- 230000008018 melting Effects 0.000 description 4
- 238000002844 melting Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000007747 plating Methods 0.000 description 4
- 229920000647 polyepoxide Polymers 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000005496 eutectics Effects 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 229920005989 resin Polymers 0.000 description 3
- 239000011347 resin Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 229910052726 zirconium Inorganic materials 0.000 description 3
- -1 96.5% tin Chemical compound 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- 229910000676 Si alloy Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000003491 array Methods 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 230000006835 compression Effects 0.000 description 2
- 238000007906 compression Methods 0.000 description 2
- 230000008602 contraction Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 238000000227 grinding Methods 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 229910003475 inorganic filler Inorganic materials 0.000 description 2
- 239000011256 inorganic filler Substances 0.000 description 2
- 229910000765 intermetallic Inorganic materials 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 229920001187 thermosetting polymer Polymers 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052725 zinc Inorganic materials 0.000 description 2
- 239000011701 zinc Substances 0.000 description 2
- JYEUMXHLPRZUAT-UHFFFAOYSA-N 1,2,3-triazine Chemical compound C1=CN=NN=C1 JYEUMXHLPRZUAT-UHFFFAOYSA-N 0.000 description 1
- XQUPVDVFXZDTLT-UHFFFAOYSA-N 1-[4-[[4-(2,5-dioxopyrrol-1-yl)phenyl]methyl]phenyl]pyrrole-2,5-dione Chemical compound O=C1C=CC(=O)N1C(C=C1)=CC=C1CC1=CC=C(N2C(C=CC2=O)=O)C=C1 XQUPVDVFXZDTLT-UHFFFAOYSA-N 0.000 description 1
- 238000010146 3D printing Methods 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 241000699670 Mus sp. Species 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- XWCMFHPRATWWFO-UHFFFAOYSA-N [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] Chemical compound [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] XWCMFHPRATWWFO-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- NSXCBNDGHHHVKT-UHFFFAOYSA-N [Ti].[Sr].[Ba] Chemical compound [Ti].[Sr].[Ba] NSXCBNDGHHHVKT-UHFFFAOYSA-N 0.000 description 1
- NIXOWILDQLNWCW-UHFFFAOYSA-N acrylic acid group Chemical group C(C=C)(=O)O NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000002313 adhesive film Substances 0.000 description 1
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 1
- 239000011230 binding agent Substances 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- JWVAUCBYEDDGAD-UHFFFAOYSA-N bismuth tin Chemical compound [Sn].[Bi] JWVAUCBYEDDGAD-UHFFFAOYSA-N 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005553 drilling Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000004146 energy storage Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000003063 flame retardant Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 1
- 230000020169 heat generation Effects 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000010030 laminating Methods 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 238000000608 laser ablation Methods 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 238000010295 mobile communication Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000002074 nanoribbon Substances 0.000 description 1
- 239000002073 nanorod Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000012858 packaging process Methods 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920003192 poly(bis maleimide) Polymers 0.000 description 1
- 230000035755 proliferation Effects 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000005476 soldering Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000009987 spinning Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000035882 stress Effects 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- OCGWQDWYSQAFTO-UHFFFAOYSA-N tellanylidenelead Chemical compound [Pb]=[Te] OCGWQDWYSQAFTO-UHFFFAOYSA-N 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 239000011135 tin Substances 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- 230000000007 visual effect Effects 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L25/0652—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L24/14—Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/28—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
- H01L23/31—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
- H01L23/3157—Partial encapsulation or coating
- H01L23/3185—Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5383—Multilayer substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5386—Geometry or layout of the interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5389—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/11—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L24/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L24/17—Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L24/23—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
- H01L24/24—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L24/81—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L24/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
- H01L24/92—Specific sequence of method steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
- H01L24/96—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L25/0655—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L25/0657—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/10—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
- H01L25/105—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/16—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/18—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/50—Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/056—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/05638—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/05647—Copper [Cu] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/06—Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
- H01L2224/0601—Structure
- H01L2224/0603—Bonding areas having different sizes, e.g. different heights or widths
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/06—Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
- H01L2224/061—Disposition
- H01L2224/0618—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/06181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/1302—Disposition
- H01L2224/13025—Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13101—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
- H01L2224/13105—Gallium [Ga] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13101—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
- H01L2224/13109—Indium [In] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13101—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
- H01L2224/13111—Tin [Sn] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13101—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
- H01L2224/13113—Bismuth [Bi] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13101—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
- H01L2224/13116—Lead [Pb] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13117—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
- H01L2224/13118—Zinc [Zn] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13138—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13139—Silver [Ag] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13138—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13144—Gold [Au] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13138—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13147—Copper [Cu] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13138—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13155—Nickel [Ni] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/13198—Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
- H01L2224/13199—Material of the matrix
- H01L2224/1329—Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/13198—Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
- H01L2224/13298—Fillers
- H01L2224/13299—Base material
- H01L2224/133—Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13338—Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13344—Gold [Au] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/13198—Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
- H01L2224/13298—Fillers
- H01L2224/13299—Base material
- H01L2224/133—Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13338—Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13347—Copper [Cu] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/13198—Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
- H01L2224/13298—Fillers
- H01L2224/13299—Base material
- H01L2224/133—Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13338—Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13355—Nickel [Ni] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/13198—Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
- H01L2224/13298—Fillers
- H01L2224/13399—Coating material
- H01L2224/134—Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13438—Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13439—Silver [Ag] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/13198—Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
- H01L2224/13298—Fillers
- H01L2224/13399—Coating material
- H01L2224/134—Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13438—Coating material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
- H01L2224/13455—Nickel [Ni] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/13198—Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
- H01L2224/13298—Fillers
- H01L2224/13399—Coating material
- H01L2224/1349—Coating material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/14—Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
- H01L2224/1401—Structure
- H01L2224/1403—Bump connectors having different sizes, e.g. different diameters, heights or widths
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/14—Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
- H01L2224/141—Disposition
- H01L2224/1412—Layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/14—Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
- H01L2224/141—Disposition
- H01L2224/1418—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/14181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16135—Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/16145—Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16151—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/16221—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/16225—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/17—Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
- H01L2224/1701—Structure
- H01L2224/1703—Bump connectors having different sizes, e.g. different diameters, heights or widths
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/17—Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
- H01L2224/171—Disposition
- H01L2224/1712—Layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/17—Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
- H01L2224/171—Disposition
- H01L2224/1712—Layout
- H01L2224/1713—Square or rectangular array
- H01L2224/17134—Square or rectangular array covering only portions of the surface to be connected
- H01L2224/17135—Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/17—Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
- H01L2224/171—Disposition
- H01L2224/1712—Layout
- H01L2224/1713—Square or rectangular array
- H01L2224/17134—Square or rectangular array covering only portions of the surface to be connected
- H01L2224/17136—Covering only the central area of the surface to be connected, i.e. central arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/17—Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
- H01L2224/171—Disposition
- H01L2224/1712—Layout
- H01L2224/17177—Combinations of arrays with different layouts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/17—Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
- H01L2224/171—Disposition
- H01L2224/1718—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/17181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L2224/23—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
- H01L2224/24—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
- H01L2224/241—Disposition
- H01L2224/24135—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/24145—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
- H01L2224/24146—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/28—Structure, shape, material or disposition of the layer connectors prior to the connecting process
- H01L2224/29—Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
- H01L2224/29001—Core members of the layer connector
- H01L2224/29099—Material
- H01L2224/2919—Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32135—Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/32145—Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L2224/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
- H01L2224/321—Disposition
- H01L2224/32151—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/32221—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/32225—Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73201—Location after the connecting process on the same surface
- H01L2224/73203—Bump and layer connectors
- H01L2224/73204—Bump and layer connectors the bump connector being embedded into the layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73251—Location after the connecting process on different surfaces
- H01L2224/73259—Bump and HDI connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/81—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
- H01L2224/81001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/81—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
- H01L2224/818—Bonding techniques
- H01L2224/81801—Soldering or alloying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/81—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
- H01L2224/818—Bonding techniques
- H01L2224/8185—Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
- H01L2224/95001—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06513—Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06517—Bump or bump-like direct electrical connections from device to substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06527—Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06541—Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06541—Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
- H01L2225/06544—Design considerations for via connections, e.g. geometry or layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06548—Conductive via connections through the substrate, container, or encapsulation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06572—Auxiliary carrier between devices, the carrier having an electrical connection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/481—Internal lead connections, e.g. via connections, feedthrough structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L24/06—Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/28—Structure, shape, material or disposition of the layer connectors prior to the connecting process
- H01L24/29—Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/31—Structure, shape, material or disposition of the layer connectors after the connecting process
- H01L24/32—Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/15—Details of package parts other than the semiconductor or other solid state devices to be connected
- H01L2924/181—Encapsulation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/15—Details of package parts other than the semiconductor or other solid state devices to be connected
- H01L2924/181—Encapsulation
- H01L2924/1815—Shape
- H01L2924/1816—Exposing the passive side of the semiconductor or solid-state body
- H01L2924/18161—Exposing the passive side of the semiconductor or solid-state body of a flip chip
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Geometry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Structures For Mounting Electric Components On Printed Circuit Boards (AREA)
- Wire Bonding (AREA)
- Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
- Production Of Multi-Layered Print Wiring Board (AREA)
Abstract
마이크로전자 어셈블리들, 관련 디바이스들 및 방법들이 본 명세서에 개시된다. 일부 실시예들에서, 마이크로전자 어셈블리는 제1 표면 및 대향하는 제2 표면을 갖는 패키지 기판; 제1 유전체 층에 내장된, 제1 표면 및 대향하는 제2 표면을 갖는 제1 다이―제1 다이의 제1 표면은 제1 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합됨―; 제2 유전체 층에 내장된, 제1 표면 및 대향하는 제2 표면을 갖는 제2 다이―제2 다이의 제1 표면은 제2 인터커넥트들에 의해 제1 다이의 제2 표면에 결합됨―; 및 제3 유전체 층에 내장된, 제1 표면 및 대향하는 제2 표면을 갖는 제3 다이―제3 다이의 제1 표면은 제3 인터커넥트들에 의해 제2 다이의 제2 표면에 결합됨―를 포함할 수 있다.
Description
관련 출원(들)에 대한 상호 참조
본 출원은 2018년 6월 14일에 출원된 "MICROELECTRONIC ASSEMBLIES"라는 명칭의 미국 특허 출원 제106/008,879호에 대한 우선권의 이점을 주장하며, 그러한 미국 특허 출원 전체는 본 명세서에서 참조로 포함된다.
집적 회로(integrated circuit)(IC) 다이들은 통상적으로 기계적 안정성을 위해 패키지 기판에 결합되어, 회로 보드들과 같은 다른 컴포넌트들에 대한 접속을 용이하게 한다. 종래의 기판들에 의해 달성가능한 인터커넥트 피치(interconnect pitch)는, 다른 것들 중에서, 제조, 재료들, 및 열적 고려사항들에 의해 제한된다.
실시예들은 첨부 도면들과 함께 다음의 상세한 설명에 의해 쉽게 이해될 것이다. 설명을 용이하게 하기 위해서, 유사한 참조 번호들은 유사한 구조적 요소들을 표시한다. 실시예들은 첨부 도면들에서 제한으로써가 아니라 예로써 설명된다.
도 1a는 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리의 측단면도이다.
도 1b는 다양한 실시예들에 따른, 도 1a의 마이크로전자 어셈블리에 포함된 다이의 상면도이다.
도 1c는 다양한 실시예들에 따른, 도 1a의 마이크로전자 어셈블리에 포함된 다이의 저면도이다.
도 2a는 다양한 실시예들에 따른, 마이크로전자 어셈블리에서의 다수의 다이들의 예시적인 배열들의 상면도이다.
도 2b는 다양한 실시예들에 따른, 도 2a의 예시적인 배열에 포함된 다이의 상면도이다.
도 3은 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리의 측단면도이다.
도 4a 내지 4i는 다양한 실시예들에 따른, 도 3의 마이크로전자 어셈블리를 제조하기 위한 예시적인 프로세스에서의 다양한 스테이지들의 측단면도들이다.
도 5는 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리의 측단면도이다.
도 6a 내지 도 6f는 다양한 실시예들에 따른, 도 5의 마이크로전자 어셈블리를 제조하기 위한 예시적인 프로세스에서의 다양한 스테이지들의 측단면도들이다.
도 7은 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리들의 측단면도이다.
도 8은 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리에 포함될 수 있는 웨이퍼 및 다이들의 상면도이다.
도 9는 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리에 포함될 수 있는 IC 디바이스의 측단면도이다.
도 10은 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리를 포함할 수 있는 IC 디바이스 어셈블리의 측단면도이다.
도 11은 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리를 포함할 수 있는 예시적인 전기 디바이스의 블록도이다.
도 1a는 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리의 측단면도이다.
도 1b는 다양한 실시예들에 따른, 도 1a의 마이크로전자 어셈블리에 포함된 다이의 상면도이다.
도 1c는 다양한 실시예들에 따른, 도 1a의 마이크로전자 어셈블리에 포함된 다이의 저면도이다.
도 2a는 다양한 실시예들에 따른, 마이크로전자 어셈블리에서의 다수의 다이들의 예시적인 배열들의 상면도이다.
도 2b는 다양한 실시예들에 따른, 도 2a의 예시적인 배열에 포함된 다이의 상면도이다.
도 3은 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리의 측단면도이다.
도 4a 내지 4i는 다양한 실시예들에 따른, 도 3의 마이크로전자 어셈블리를 제조하기 위한 예시적인 프로세스에서의 다양한 스테이지들의 측단면도들이다.
도 5는 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리의 측단면도이다.
도 6a 내지 도 6f는 다양한 실시예들에 따른, 도 5의 마이크로전자 어셈블리를 제조하기 위한 예시적인 프로세스에서의 다양한 스테이지들의 측단면도들이다.
도 7은 다양한 실시예들에 따른, 예시적인 마이크로전자 어셈블리들의 측단면도이다.
도 8은 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리에 포함될 수 있는 웨이퍼 및 다이들의 상면도이다.
도 9는 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리에 포함될 수 있는 IC 디바이스의 측단면도이다.
도 10은 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리를 포함할 수 있는 IC 디바이스 어셈블리의 측단면도이다.
도 11은 본 명세서에 개시된 실시예들 중 임의의 것에 따른, 마이크로전자 어셈블리를 포함할 수 있는 예시적인 전기 디바이스의 블록도이다.
마이크로전자 어셈블리들, 및 관련 디바이스들 및 방법들이 본 명세서에 개시된다. 예를 들어, 일부 실시예들에서, 마이크로전자 어셈블리는 제1 표면 및 대향하는 제2 표면을 갖는 패키지 기판, 제1 표면 및 대향하는 제2 표면을 갖는 제1 다이―제1 다이는 제1 유전체 층에 내장되고, 제1 다이의 제1 표면은 제1 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합됨―, 제1 표면 및 대향하는 제2 표면을 갖는 제2 다이―제2 다이는 제2 유전체 층에 내장되고, 제2 다이의 제1 표면은 제2 인터커넥트들에 의해 제1 다이의 제2 표면에 결합됨―, 및 제1 표면 및 대향하는 제2 표면을 갖는 제3 다이―제3 다이는 제3 유전체 층에 내장되고, 제3 다이의 제1 표면은 제3 인터커넥트들에 의해 제2 다이의 제2 표면에 결합됨―를 포함할 수 있다.
멀티 다이(multi-die) IC 패키지에서의 2개 이상의 다이들 사이에서 다수의 신호들을 통신하는 것은, 다른 것들 중에서, 그러한 다이들의 점점 더 작은 크기, 열적 제약들, 및 전력 전달 제약들로 인해 도전과제가 된다. 본 명세서에 개시된 실시예들 중 다양한 것들은 종래의 방안들에 비해, 개선된 전력 효율성, 더 높은 대역폭, 및/또는 더 큰 설계 유연성을 가지면서, 더 낮은 비용으로 다수의 IC 다이들의 신뢰성 있는 부착을 달성하는 것을 도울 수 있다. 본 명세서에 개시된 마이크로전자 어셈블리들 중 다양한 것들은 종래의 방안들에 비해 패키지의 크기를 감소시키면서 더 우수한 전력 전달 및 신호 속도를 나타낼 수 있다. 본 명세서에 개시된 마이크로전자 어셈블리들은 컴퓨터들, 태블릿들, 산업용 로봇들, 및 소비자 전자 장치들(예를 들어, 웨어러블 디바이스들)에서의 작은 저프로파일 응용들에 대해 특히 유리할 수 있다.
다음의 상세한 설명에서, 그 일부를 형성하는 첨부 도면들에 대한 참조가 행해지고, 첨부 도면들에서의 유사한 번호들은 전반에 걸쳐 유사한 부분들을 표시하며, 실시될 수 있는 실시예들을 예로써 도시한다. 다른 실시예들이 이용될 수 있으며, 본 개시내용의 범위로부터 벗어나지 않고서도 구조적 또는 논리적 변경들이 이루어질 수 있다는 점을 이해해야 한다. 따라서, 이하의 상세한 설명은 한정하는 의미로 취해지지 않는다.
다양한 동작들은, 청구 대상을 이해하는데 가장 도움이 되는 방식으로, 다수의 개별 작용들 또는 동작들로서 차례로 설명될 수 있다. 그러나, 설명의 순서는 이들 동작들이 반드시 순서 의존적(order dependent)임을 암시하는 것으로 해석되어서는 안된다. 특히, 이들 동작들은 제시의 순서로 수행되지 않을 수 있다. 설명된 동작들은 설명된 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나, 설명된 동작들이 추가적인 실시예들에서 생략될 수 있다.
본 개시의 목적들을 위해, "A 및/또는 B"라는 문구는 (A), (B), 또는 (A 및 B)를 의미한다. 본 개시의 목적들을 위해, "A, B, 및/또는 C"라는 문구는 (A), (B), (C), (A 및 B), (A 및 C), (B 및 C), 또는 (A, B, 및 C)를 의미한다. 도면들이 반드시 비율에 맞지는 않는다. 비록 많은 도면들이 평평한 벽들 및 직각 코너들을 갖는 직선 구조들을 도시하지만, 이것은 단순히 도시의 용이성을 위한 것이고, 이러한 기술들을 이용하여 만들어진 실제 디바이스들은 라운딩된 코너들, 표면 거칠기, 및 다른 피처들을 나타낼 것이다.
설명은 "실시예에서(in an embodiment)" 또는 "실시예들에서(in embodiments)"라는 문구들을 이용하고, 이들 각각은 동일한 또는 상이한 실시예들 중의 하나 이상을 지칭할 수 있다. 또한, 본 개시의 실시예들에 대해 이용된 바와 같이, 용어들 "포함하는(comprising)", "포함하는(including)", "갖는(having)" 등은 동의어이다. 본 명세서에서 이용된 바와 같이, "패키지" 및 "IC 패키지"는 "다이" 및 "IC 다이"와 같이 동의어이다. 용어들 "최상부(top)" 및 "최하부(bottom)"는 본 명세서에서 도면들의 다양한 특징들을 설명하기 위해 이용될 수 있지만, 이러한 용어들은 단순히 논의의 용이성을 위한 것이고, 원하는 또는 요구되는 배향을 암시하지 않는다. 본 명세서에서 이용된 바와 같이, 용어 "절연(insulating)"은 달리 명시되지 않는 한 "전기적으로 절연(electrically insulating)"을 의미한다.
치수들의 범위를 설명하는데 이용될 때, "X와 Y 사이"라는 문구는 X 및 Y를 포함하는 범위를 나타낸다. 편의상, 문구 "도 4"는 도 4a 내지 도 4i의 도면들의 모음을 지칭하는데 이용될 수 있고, 문구 "도 6"은 도 6a 내지 도 6f의 도면들의 모음을 지칭하는데 이용될 수 있는 등으로 된다. 특정 요소들이 본 명세서에서 단수형으로 지칭될 수 있지만, 그러한 요소들은 다수의 하위 요소들을 포함할 수 있다. 예를 들어, "절연 재료"는 하나 이상의 절연 재료를 포함할 수 있다. 본 명세서에서 이용된 바와 같이, "전도성 컨택트(conductive contact)"는 상이한 컴포넌트들 사이의 전기적 인터페이스로서 기능하는 전도성 재료(예를 들어, 금속)의 일부를 지칭할 수 있고, 전도성 컨택트들은 컴포넌트의 표면에 리세스되거나, 그 표면과 동일 평면에 있거나, 그 표면으로부터 멀리 연장될 수 있고, 임의의 적절한 형태(예를 들어, 전도성 패드 또는 소켓, 또는 전도성 라인 또는 비아의 일부)를 취할 수 있다.
도 1a는 다양한 실시예들에 따른, 마이크로전자 어셈블리(100)의 측단면도이다. 마이크로전자 어셈블리(100)는 멀티-레벨 인터커넥트들을 갖는 다층 다이 서브어셈블리(multi-layer die subassembly)(104)에 결합된 패키지 기판(102)을 포함할 수 있다. 본 명세서에서 이용된 바와 같이, "다층 다이 서브어셈블리"라는 용어는, 각각의 층에 하나 이상의 다이가 내장되는 3개 이상의 적층된 유전체 층들, 및 인접하지 않는 층들에서의 다이들을 포함하는 하나 이상의 다이를 접속하는 전도성 인터커넥트들 및/또는 전도성 경로들을 갖는 복합 다이(composite die)를 지칭할 수 있다. 본 명세서에서 이용될 때, "다층 다이 서브어셈블리" 및 "복합 다이"라는 용어들은 상호교환가능하게 이용될 수 있다. 본 명세서에서 이용된 바와 같이, "멀티-레벨 인터커넥트"라는 용어는 제1 컴포넌트와 제2 컴포넌트 사이의 인터커넥트 - 여기서 제1 컴포넌트 및 제2 컴포넌트는 인접한 층들에 있지 않음 - 를 지칭할 수 있거나, 또는 하나 이상의 층에 걸쳐 있는 인터커넥트(예를 들어, 제1 층에서의 제1 다이와 제3 층에서의 제2 다이 사이의 인터커넥트, 또는 패키지 기판과 제2 층에서의 다이 사이의 인터커넥트)를 지칭할 수 있다. 도 1a에 도시된 바와 같이, 다층 다이 서브어셈블리(104)는 3개의 층들을 포함할 수 있다. 특히, 다층 다이 서브어셈블리(104)는 다이(114-1) 및 다이(114-4)를 갖는 제1 층(104-1), 다이(114-2)를 갖는 제2 층(104-2), 및 다이(114-3), 다이(114-5) 및 다이(114-6)를 갖는 제3 층(104-3)을 포함할 수 있다. 제1 층(104-1)에서의 다이(114-1)는 다이-대-패키지 기판(die-to-package substrate)(DTPS) 인터커넥트들(150-1)에 의해 패키지 기판(102)에 결합될 수 있고, 다이-대-다이(die-to-die)(DTD) 인터커넥트들(130-1)에 의해 제2 층(104-2)에서의 다이(114-2)에 결합될 수 있고, 멀티-레벨(multi-level)(ML) 인터커넥트들(152)에 의해 제3 층(104-3)에서의 다이(114-3)에 결합될 수 있다. 패키지 기판(102)의 최상부 표면은 전도성 컨택트들(146)의 세트를 포함할 수 있다. 다이들(114-1, 114-2 및 114-4)은 다이의 최하부 표면 상의 전도성 컨택트들(122)의 세트, 및 다이의 최상부 표면 상의 전도성 컨택트들(124)의 세트를 포함할 수 있다. 다이들(114-3, 114-5, 114-6)은 다이의 최하부 표면 상의 전도성 컨택트들(122)의 세트를 포함할 수 있다. 다이(114-1)에 대해 도시된 바와 같이, 다이(114-1)의 최하부 표면에서의 전도성 컨택트들(122)은 DTPS 인터커넥트들(150-1)에 의해 패키지 기판(102)의 최상부 표면에서의 전도성 컨택트들(146)에 전기적 및 기계적으로 결합될 수 있고; 다이(114-1)의 최상부 표면 상의 전도성 컨택트들(124)은 DTD 인터커넥트들(130-1)에 의해 다이(114-2)의 최하부 표면 상의 전도성 컨택트들(122)에 전기적 및 기계적으로 결합될 수 있고, 추가로, ML 인터커넥트들(152)에 의해 다이(114-3)의 최하부 표면 상의 전도성 컨택트들(122)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-4)에 대해 도시된 바와 같이, 다이(114-4)의 최하부 표면에서의 전도성 컨택트들(122)은 DTPS 인터커넥트들(150-1)에 의해 패키지 기판(102)의 최상부 표면에서의 전도성 컨택트들(146)에 전기적 및 기계적으로 결합될 수 있고; 다이(114-4)의 최상부 표면 상의 전도성 컨택트들(124)은 DTD 인터커넥트들(130-1)에 의해 다이(114-2)의 최하부 표면 상의 전도성 컨택트들(122)에 전기적 및 기계적으로 결합될 수 있고, 추가로, ML 인터커넥트들(152)에 의해 다이(114-5)의 최하부 표면 상의 전도성 컨택트들(122)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-2)에 대해 도시된 바와 같이, 다이(114-2)의 최하부 표면 상의 전도성 컨택트들(122)은 ML 인터커넥트들(152)에 의해 패키지 기판(102)의 최상부 표면 상의 전도성 컨택트들(146)에 전기적 및 기계적으로 결합될 수 있고, DTD 인터커넥트들(130-1)에 의해 다이들(114-1 및 114-4)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있고; 다이(114-2)의 최상부 표면 상의 전도성 컨택트들(124)은 DTD 인터커넥트들(130-1 및 130-2)에 의해 다이들(114-3 및 114-5, 및 114-6)의 최하부 표면 상의 전도성 컨택트들(122)에 각각 전기적 및 기계적으로 결합될 수 있다. 다이(114-3)에 대해 도시된 바와 같이, 다이(114-3)의 최하부 표면 상의 전도성 컨택트들(122)은 또한 ML 인터커넥트들(152)에 의해 다이(114-2)의 최상부 표면 상의 전도성 컨택트들(124) 및 패키지 기판의 최상부 표면 상의 전도성 컨택트들(146)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-5)에 대해 도시된 바와 같이, 다이(114-5)의 최하부 표면 상의 전도성 컨택트들(122)은 또한 ML 인터커넥트(152)에 의해 다이(114-4)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-6)에 대해 도시된 바와 같이, 다이(114-6)의 최하부 표면 상의 전도성 컨택트들(122)은 DTD 인터커넥트들(130-2)에 의해 다이(114-2)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있다.
ML 인터커넥트들(152)은, 예를 들어, 구리, 은, 니켈, 금, 알루미늄, 또는 다른 금속들 또는 합금들과 같은 임의의 적절한 전도성 재료로 형성될 수 있다. ML 인터커넥트들(152)은, 예를 들어, 도 4를 참조하여 설명된 프로세스를 포함하는 임의의 적절한 프로세스를 이용하여 형성될 수 있다. 일부 실시예들에서, 본 명세서에 개시된 ML 인터커넥트들(152)은 100 마이크로미터와 300 마이크로미터 사이의 피치를 가질 수 있다. ML 인터커넥트들(152)은 다층 다이 서브어셈블리(104)의 하나 이상의 다이(114), 및/또는 하나 이상의 다이(114)와 패키지 기판(102) 사이에 더 직접적인 전도성 경로를 제공할 수 있다. ML 인터커넥션들의 더 직접적인 접속들(즉, 더 짧은 전도성 경로들)은 대역폭을 증가시킴으로써, 저항을 감소시킴으로써, 기생들(parasitics)을 낮춤으로써, 및/또는 패키지 기판(102)으로부터 하나 이상의 다이(114)로 전력을 보다 효율적으로 전달함으로써, 마이크로전자 어셈블리의 성능을 개선할 수 있다.
일부 실시예들에서, 패키지 기판(102)은 리소그래피 정의된 비아 패키징 프로세스(lithographically defined via packaging process)를 이용하여 형성될 수 있다. 일부 실시예들에서, 패키지 기판(102)은 표준 유기 패키지 제조 프로세스들(standard organic package manufacturing processes)을 이용하여 제조될 수 있고, 따라서 패키지 기판(102)은 유기 패키지의 형태를 취할 수 있다. 일부 실시예들에서, 패키지 기판(102)은 유전체 재료 상에 적층(laminating) 또는 스피닝(spinning)하고, 레이저 드릴링(laser drilling) 및 도금(plating)에 의해 전도성 비아들 및 라인들을 생성하는 것에 의해, (예를 들어, 도 5에 도시된 바와 같이) 패널 캐리어(panel carrier) 상에 형성된 재분배 층들(redistribution layers)의 세트일 수 있다. 일부 실시예들에서, 패키지 기판(102)은 재분배 층 기술과 같은 임의의 적절한 기술을 이용하여 제거가능한 캐리어(removable carrier) 상에 형성될 수 있다. 패키지 기판(102)의 제조를 위해 본 기술분야에 알려진 임의의 방법이 이용될 수 있고, 간결성을 위해, 그러한 방법들은 본 명세서에서 더 상세히 논의되지 않을 것이다.
일부 실시예들에서, 패키지 기판(102)은 더 낮은 밀도 매체일 수 있고, 다이(114)(예를 들어, 다이(114-4))는 더 높은 밀도 매체일 수 있거나 더 높은 밀도 매체를 갖는 영역을 가질 수 있다. 본 명세서에서 이용된 바와 같이, "더 낮은 밀도" 및 "더 높은 밀도"라는 용어는 더 낮은 밀도 매체에서의 전도성 경로들(예를 들어, 전도성 인터커넥트들, 전도성 라인들, 및 전도성 비아들을 포함함)이 더 높은 밀도 매체에서의 전도성 경로들보다 더 크고/크거나 더 큰 피치를 갖는다는 것을 나타내는 상대적 용어들이다. 일부 실시예들에서, 더 높은 밀도 매체는 (진보된 레이저 또는 리소그래피 프로세스들에 의해 형성된 작은 수직 인터커넥트 피처들을 갖는) 진보된 리소그래피를 갖는 수정된 세미-애디티브 프로세스(modified semi-additive process) 또는 세미-애디티브 빌드-업 프로세스(semi-additive build-up process)를 이용하여 제조될 수 있는 반면, 더 낮은 밀도의 매체는 표준 PCB(printed circuit board) 프로세스(예를 들어, 원하지 않는 구리의 영역들을 제거하기 위해 에칭 화학(etch chemistry)을 이용하고, 표준 레이저 프로세스에 의해 형성된 개략적 수직 인터커넥트 피처들(coarse vertical interconnect features)을 갖는 표준 서브트랙티브 프로세스(standard subtractive process))를 이용하여 제조된 PCB일 수 있다. 다른 실시예들에서, 더 높은 밀도 매체는 단일 다마신 프로세스(single damascene process) 또는 이중 다마신 프로세스(dual damascene process)와 같은 반도체 제조 프로세스를 이용하여 제조될 수 있다.
도 1a에 도시된 바와 같이, 다이(114-1)의 DTPS 인터커넥트들(150-1)은 다이(114-4)의 DTPS 인터커넥트들(150-2)과 상이한 피치를 가질 수 있다. 일부 실시예들에서, 다이(114-4) 상에 도시된 바와 같이, DTPS 인터커넥트들(150)은 동일한 다이 상에 상이한 피치를 가질 수 있다. 예를 들어, 다이(114-4)의 DTPS 인터커넥트들(150-1)은 다이(114-4)의 DTPS 인터커넥트들(150-2)과 상이한 피치를 가질 수 있다. 다른 예에서, 최상부 표면 상에서 다이(114-2)는 동일한 표면 상의 DTD 인터커넥트들(130-2)과 상이한 피치를 가질 수 있는 DTD 인터커넥트들(130-1)을 가질 수 있다. 동일한 표면에서 상이한 피치들의 인터커넥트들(130)을 갖는 다이(114)는 혼합 피치 다이(mixed-pitch die)(114)라고 지칭될 수 있다. 일부 실시예들에서, DTD 인터커넥트들은 5 마이크로미터와 200 마이크로미터 사이(예를 들어, 5 마이크로미터와 100 마이크로미터 사이)의 피치를 가질 수 있다. 일부 실시예들에서, DTPS 인터커넥트들은 200 마이크로미터와 800 마이크로미터 사이(예를 들어, 300 마이크로미터와 600 마이크로미터 사이)의 피치를 가질 수 있다.
도 1a는 다이들(114-1, 114-2 및 114-4)을 양면(double-sided) 다이들로서, 그리고 다이들(114-3, 114-5 및 114-6)이 단면(single-sided) 다이들로서 도시하지만, 다이들(114)은 단면 또는 양면 다이일 수 있고, 단일 피치 다이 또는 혼합 피치 다이일 수 있다. 일부 실시예들에서, 추가 컴포넌트들이 다이들(114-3, 114-5 및/또는 114-6)의 최상부 표면 상에 배치될 수 있다. 표면 실장(surface-mount) 저항기들, 커패시터들, 및/또는 인덕터들과 같은 추가적인 수동 컴포넌트들이 패키지 기판(102)의 최상부 표면 또는 최하부 표면 상에 배치될 수 있거나, 패키지 기판(102)에 내장될 수 있다. 이러한 맥락에서, 양면 다이는 양쪽 표면들 상에 접속들을 갖는 다이를 지칭한다. 일부 실시예들에서, 양면 다이는 양쪽 표면들 상에 접속들을 형성하기 위해 관통 실리콘 비아(through silicon via)(TSV)들을 포함할 수 있다. 하나 이상의 능동 디바이스들 및 다수의 인터커넥트들을 포함하는 표면인 양면 다이의 활성 표면은 설계 및 전기적 요건들에 따라 어느 한 방향을 향할 수 있다.
도 1a는 다이들(114)을 특정 배열로 도시하지만, 다이들(114)은 임의의 적절한 배열로 있을 수 있다. 예를 들어, 제3 층(104-3)으로부터의 다이(114-3)는 제1 층(104-1)에서의 다이(114-1) 위로 중첩 거리(overlap distance)(191)만큼 연장될 수 있고, 제2 층(104-2)에서의 다이(114-2) 위로 중첩 거리(193) 만큼 연장될 수 있다. 중첩 거리들(191, 193)은 임의의 적절한 거리일 수 있다. 일부 실시예들에서, 중첩 거리(191)는 0.5 밀리미터와 50 밀리미터 사이(예를 들어, 0.75 밀리미터와 20 밀리미터 사이, 또는 대략 10 밀리미터)일 수 있다. 일부 실시예들에서, 중첩 거리(193)는 0.25 밀리미터와 5 밀리미터 사이일 수 있다.
도 1b는 "더 굵은(coarser)" 전도성 컨택트들(124-1) 및 "더 가는(finer)" 전도성 컨택트들(124-2)을 도시하는, 도 1a의 마이크로전자 어셈블리(100)의 다이(114-2)의 상면도이다. 마이크로전자 어셈블리(100)의 다이(114-2)는 (다이(114-2)가 단일 표면 상의 전도성 컨택트들만을 갖는다는 의미에서) 단면 다이일 수 있거나, 도시된 바와 같이, (다이(114-2)가 2개의 표면들(예를 들어, 최상부 표면 및 최하부 표면) 상의 전도성 컨택트들(122, 124)을 갖는다는 의미에서) 양면 다이일 수 있고, (다이(114-2)가 상이한 피치들을 갖는 전도성 컨택트들(124-1, 124-2)의 세트들을 갖는다는 의미에서) 혼합 피치 다이일 수 있다. 도 1b는 전도성 컨택트들(124-1, 124-2)이 직사각형 어레이로 배열되어 있는 것으로 도시하지만, 전도성 컨택트들(124-1, 124-2)은 임의의 적절한 패턴(예를 들어, 삼각형, 육각형, 직사각형, 전도성 컨택트들(124-1, 124-2) 사이의 상이한 배열들 등)으로 배열될 수 있다. 본 명세서에 개시된 전도성 컨택트들(예를 들어, 전도성 컨택트들(122, 124 및/또는 146)) 중 임의의 것은, 예를 들어, 본드 패드들(bond pads), 솔더 범프들(solder bumps), 전도성 포스트들(conductive posts), 또는 임의의 다른 적절한 전도성 컨택트를 포함할 수 있다.
도 1c는 "더 굵은" 전도성 컨택트들(122-1) 및 "더 가는" 전도성 컨택트들(122-2)을 도시하는, 도 1a의 마이크로전자 어셈블리(100)의 다이(114-2)의 저면도이다. 마이크로전자 어셈블리(100)의 다이(114-2)는 도시된 바와 같이 양면 다이일 수 있거나, 단면 다이일 수 있고, 도시된 바와 같이 혼합 피치 다이일 수 있거나, 단일 피치 다이일 수 있다. 도 1c는 전도성 컨택트들(122-1, 122-2)이 직사각형 어레이로 배열되어 있는 것으로 도시하지만, 전도성 컨택트들(122-1, 122-2)은 임의의 적절한 패턴(예를 들어, 삼각형, 육각형, 직사각형, 전도성 컨택트들(122-1, 122-2) 사이의 상이한 배열들 등)으로 배열될 수 있다.
위에서 논의된 바와 같이, 도 1a의 실시예에서, 다이(114-1)는 마이크로전자 어셈블리(100)의 국소화된 영역에서 고밀도 인터커넥트 라우팅을 제공할 수 있다. 일부 실시예들에서, 다이(114-1)의 존재는 패키지 기판(102)에 전체적으로 직접 부착될 수 없는 미세 피치(fine-pitch) 반도체 다이들(예를 들어, 다이들(114-2, 114-3 및 114-5))의 직접 칩 부착(direct chip attach)을 지원할 수 있다. 특히, 위에서 논의된 바와 같이, 다이(114-1)는 패키지 기판(102)에서 달성가능하지 않은 트레이스 폭들 및 간격들을 지원할 수 있다. 웨어러블 및 모바일 전자 장치들의 확산, 뿐만 아니라 사물 인터넷(Internet of Things)(IoT) 응용들은 전자 시스템들의 크기에서의 감소들을 야기하고 있지만, PCB 제조 프로세스의 제한들 및 이용 동안의 열 팽창의 기계적 결과들은 미세 인터커넥트 피치를 갖는 칩들이 PCB에 직접 장착될 수 없다는 것을 의미한다. 본 명세서에 개시된 마이크로전자 어셈블리(100)의 다양한 실시예들은 성능 또는 제조성을 희생하지 않고서, 저밀도 인터커넥트들을 갖는 칩들 및 고밀도 인터커넥트들을 갖는 칩들을 지원할 수 있다.
도 1a의 마이크로전자 어셈블리(100)는 또한 회로 보드(도시되지 않음)를 포함할 수 있다. 패키지 기판(102)은 패키지 기판(102)의 최하부 표면에서 제2 레벨 인터커넥트들에 의해 회로 보드에 결합될 수 있다. 제2 레벨 인터커넥트들은 볼 그리드 어레이 배열(ball grid array arrangement)을 위한 솔더 볼들, 핀 그리드 어레이 배열(pin grid array arrangement)에서의 핀들 또는 랜드 그리드 어레이 배열(land grid array arrangement)에서의 랜드들을 포함하는 임의의 적절한 제2 레벨 인터커넥트들일 수 있다. 회로 보드는, 예를 들어, 마더보드일 수 있고, 그것에 부착된 다른 컴포넌트들을 가질 수 있다. 회로 보드는 본 기술분야에 알려진 바와 같이, 회로 보드를 통해 전력, 접지, 및 신호들을 라우팅하기 위한 전도성 경로들 및 다른 전도성 컨택트들을 포함할 수 있다. 일부 실시예들에서, 제2 레벨 인터커넥트들은 패키지 기판(102)을 회로 보드에 결합하지 않을 수 있지만, 대신에 패키지 기판(102)을 다른 IC 패키지, 인터포저(interposer), 또는 임의의 다른 적절한 컴포넌트에 결합할 수 있다. 일부 실시예들에서, 다층 다이 서브어셈블리는 패키지 기판(102)에 결합되지 않을 수 있지만, 대신에 PCB와 같은 회로 보드에 결합될 수 있다.
도 1a의 마이크로전자 어셈블리(100)는 언더필 재료(underfill material)(127)를 또한 포함할 수 있다. 일부 실시예들에서, 언더필 재료(127)는 연관된 DTPS 인터커넥트들(150) 주위의 패키지 기판(102) 및 다이들(114) 중 하나 이상 사이에서 연장될 수 있다. 일부 실시예들에서, 언더필 재료(127)는 연관된 DTD 인터커넥트들(130) 주위의 다이들(114) 중 상이한 것들 사이에서 연장될 수 있다. 언더필 재료(127)는 적절한 에폭시 재료와 같은 절연 재료일 수 있다. 일부 실시예들에서, 언더필 재료(127)는 모세관 언더필(capillary underfill), 비전도성 막(non-conductive film)(NCF), 또는 몰딩된 언더필을 포함할 수 있다. 일부 실시예들에서, 언더필 재료(127)는 DTPS 인터커넥트들(150-1, 150-2)을 형성할 때 다이들(114-1, 114-4)을 패키지 기판(102)에 솔더링하는 것을 돕고, DTPS 인터커넥트들(150-1 및 150-2)을 중합(polymerize) 및 캡슐화(encapsulate)하는 에폭시 플럭스(epoxy flux)를 포함할 수 있다. 언더필 재료(127)는 마이크로전자 어셈블리(100)에서의 불균일한 열 팽창으로부터 발생하는 다이들(114)과 패키지 기판(102) 사이의 응력(stress)을 완화 또는 최소화할 수 있는 열팽창 계수(coefficient of thermal expansion)(CTE)를 갖도록 선택될 수 있다. 일부 실시예들에서, 언더필 재료(127)의 CTE는 패키지 기판(102)의 CTE(예를 들어, 패키지 기판(102)의 유전체 재료의 CTE)와 다이들(114)의 CTE에 중간인 값을 가질 수 있다.
본 명세서에 개시된 DTPS 인터커넥트들(150)은 임의의 적절한 형태를 취할 수 있다. 일부 실시예들에서, DTPS 인터커넥트들(150)의 세트는 솔더(예를 들어, DTPS 인터커넥트들(150)을 형성하기 위해 열 리플로우(thermal reflow)를 겪는 솔더 범프들 또는 볼들)를 포함할 수 있다. 솔더를 포함하는 DTPS 인터커넥트들(150)은 납(lead)/주석(tin), 주석/비스무트(bismuth), 공융(eutectic) 주석/은(silver), 삼원(ternary) 주석/은/구리(copper), 공융 주석/구리, 주석/니켈(nickel)/구리, 주석/비스무트/구리, 주석/인듐(indium)/구리, 주석/아연(zinc)/인듐/비스무트, 또는 다른 합금들과 같은 임의의 적절한 솔더 재료를 포함할 수 있다. 일부 실시예들에서, DTPS 인터커넥트들(150)의 세트는 이방성 전도성 막 또는 이방성 전도성 페이스트와 같은 이방성 전도성 재료를 포함할 수 있다. 이방성 전도성 재료는 비전도성 재료에 분산된 전도성 재료들을 포함할 수 있다. 일부 실시예들에서, 이방성 전도성 재료는 바인더(binder) 또는 열경화성 접착 막(thermoset adhesive film)(예를 들어, 열경화성 비페닐-타입 에폭시 수지(thermoset biphenyl-type epoxy resin), 또는 아크릴계 재료(acrylic-based material))에 내장된 미세 전도성 입자들(microscopic conductive particles)을 포함할 수 있다. 일부 실시예들에서, 전도성 입자들은 중합체(polymer) 및/또는 하나 이상의 금속(예를 들어, 니켈 또는 금)을 포함할 수 있다. 예를 들어, 전도성 입자들은 니켈-코팅된 금 또는 은-코팅된 구리를 포함할 수 있고, 이것은 결국 중합체로 코팅된다. 다른 예에서, 전도성 입자들은 니켈을 포함할 수 있다. 이방성 전도성 재료가 압축되지 않을 때, 재료의 일 측으로부터 다른 측으로의 전도성 경로가 없을 수 있다. 그러나, 이방성 전도성 재료가 (예를 들어, 이방성 전도성 재료의 양측 상의 전도성 컨택트들에 의해) 적절히 압축될 때, 압축의 영역 근처의 전도성 재료들은 압축의 영역에서 막의 일 측으로부터 다른 측으로의 전도성 경로를 형성하도록 서로 접촉할 수 있다.
본 명세서에 개시된 DTD 인터커넥트들(130)은 임의의 적절한 형태를 취할 수 있다. DTD 인터커넥트들(130)은 마이크로전자 어셈블리에서 DTPS 인터커넥트들(150)보다 더 미세한 피치를 가질 수 있다. 일부 실시예들에서, DTD 인터커넥트들(130)의 세트의 양측 상의 다이들(114)은 패키징되지 않은 다이들일 수 있고/있거나 DTD 인터커넥트들(130)은 솔더에 의해 전도성 컨택트들(124)에 부착된 작은 전도성 범프들(예를 들어, 구리 범프들)을 포함할 수 있다. DTD 인터커넥트들(130)은 패키지 기판(102)에 직접 결합하기에는 너무 미세한(예를 들어, DTPS 인터커넥트들(150)로서 기능하기에는 너무 미세한) 피치를 가질 수 있다. 일부 실시예들에서, DTD 인터커넥트들(130)의 세트는 솔더를 포함할 수 있다. 솔더를 포함하는 DTD 인터커넥트들(130)은 위에서 논의된 재료들 중 임의의 것과 같은 임의의 적절한 솔더 재료를 포함할 수 있다. 일부 실시예들에서, DTD 인터커넥트들(130)의 세트는 위에서 논의된 재료들 중 임의의 것과 같은 이방성 전도성 재료를 포함할 수 있다. 일부 실시예들에서, DTD 인터커넥트들(130)은 데이터 전송 레인들(data transfer lanes)로서 이용될 수 있는 반면, DTPS 인터커넥트들(150)은 다른 것들 중에서, 전력 및 접지 라인들에 이용될 수 있다.
일부 실시예들에서, 마이크로전자 어셈블리(100)에서의 DTD 인터커넥트들(130)의 일부 또는 전부는 금속-대-금속 인터커넥트들(예를 들어, 구리-대-구리 인터커넥트들, 또는 도금된 인터커넥트들)일 수 있다. 그러한 실시예들에서, DTD 인터커넥트(130)의 양측 상의 전도성 컨택트들(122, 124)은 개재하는(intervening) 솔더 또는 이방성 전도성 재료의 이용 없이 (예를 들어, 상승된 압력 및/또는 온도 하에서) 함께 본딩될 수 있다. 일부 실시예들에서, 솔더의 얇은 캡(cap)은 평탄성(planarity)을 수용하기 위해 금속-대-금속 인터커넥트에서 이용될 수 있고, 이러한 솔더는 처리 동안 금속간 화합물(intermetallic compound)이 될 수 있다. 하이브리드 본딩을 이용하는 일부 금속-대-금속 인터커넥트들에서, 유전체 재료(예를 들어, 실리콘 산화물(silicon oxide), 실리콘 질화물(silicon nitride), 실리콘 탄화물(silicon carbide), 또는 유기 층)가, 함께 본딩된 금속들 사이에(예를 들어, 연관된 전도성 컨택트들(124)을 제공하는 구리 패드들 또는 포스트들 사이에) 존재할 수 있다. 일부 실시예들에서, DTD 인터커넥트(130)의 일 측은 금속 필러(metal pillar)(예를 들어, 구리 필러)를 포함할 수 있고, DTD 인터커넥트의 다른 측은 유전체에서 리세싱된 금속 컨택트(예를 들어, 구리 컨택트)를 포함할 수 있다. 일부 실시예들에서, 금속-대-금속 인터커넥트(예를 들어, 구리-대-구리 인터커넥트)는 귀금속(예를 들어, 금) 또는 그 산화물들이 전도성인 금속(예를 들어, 은)을 포함할 수 있다. 일부 실시예들에서, 금속-대-금속 인터커넥트는 감소된 용융점(melting point)을 가질 수 있는 금속 나노구조체들(예를 들어, 나노로드들(nanorods))을 포함할 수 있다. 금속-대-금속 인터커넥트들은 다른 타입들의 인터커넥트들보다 더 높은 전류를 신뢰성 있게 전도할 수 있고; 예를 들어, 일부 솔더 인터커넥트들은 전류가 흐를 때 취성의(brittle) 금속간 화합물들을 형성할 수 있고, 그러한 인터커넥트들을 통해 제공되는 최대 전류는 기계적 고장을 완화시키도록 제약될 수 있다.
일부 실시예들에서, 마이크로전자 어셈블리(100)에서의 DTD 인터커넥트들(130)의 일부 또는 전부는 DTPS 인터커넥트들(150)의 일부 또는 전부에 포함된 솔더보다 더 높은 용융점을 갖는 솔더를 포함하는 솔더 인터커넥트들일 수 있다. 예를 들어, (예를 들어, 도 4를 참조하여 이하에서 논의되는 바와 같이) DTPS 인터커넥트들(150)이 형성되기 전에 마이크로전자 어셈블리(100)에서의 DTD 인터커넥트들(130)이 형성되는 경우, 솔더 기반 DTD 인터커넥트들(130)은 (예를 들어, 200℃ 초과의 용융점을 갖는) 더 높은 온도의 솔더를 이용할 수 있는 반면, DTPS 인터커넥트들(150)은 (예를 들어, 200℃ 미만의 용융점을 갖는) 더 낮은 온도의 솔더를 이용할 수 있다. 일부 실시예들에서, 더 높은 온도의 솔더는 주석; 주석 및 금; 또는 주석, 은, 및 구리(예를 들어, 96.5% 주석, 3% 은, 및 0.5% 구리)를 포함할 수 있다. 일부 실시예들에서, 더 낮은 온도의 솔더는 주석 및 비스무트(예를 들어, 공융 주석 비스무트) 또는 주석, 은 및 비스무트를 포함할 수 있다. 일부 실시예들에서, 더 낮은 온도의 솔더는 인듐, 인듐 및 주석, 또는 갈륨(gallium)을 포함할 수 있다.
본 명세서에 개시된 마이크로전자 어셈블리들(100)에서, DTPS 인터커넥트들(150)의 일부 또는 전부는 DTD 인터커넥트(130)의 일부 또는 전부보다 더 큰 피치를 가질 수 있다. DTD 인터커넥트들(130)은, DTPS 인터커넥트들(150)의 세트의 양측 상의 다이(114)와 패키지 기판(102) 사이보다 DTD 인터커넥트들(130)의 세트의 양측 상의 상이한 다이들(114)에서의 재료들의 더 큰 유사성으로 인해, DTPS 인터커넥트들(150)보다 더 작은 피치를 가질 수 있다. 특히, 다이(114) 및 패키지 기판(102)의 재료 조성에서의 차이들은 동작 동안에 발생된 열(뿐만 아니라 다양한 제조 동작들 동안에 인가된 열)로 인해 다이(114) 및 패키지 기판(102)의 차동 팽창 및 수축(differential expansion and contraction)을 초래할 수 있다. 이러한 차동 팽창 및 수축(예를 들어, 균열(cracking), 솔더 브리징(solder bridging) 등)에 의해 야기되는 손상을 완화시키기 위해, DTPS 인터커넥트들(150)은 DTD 인터커넥트들(130)보다 더 크고 더 멀리 떨어져서 형성될 수 있으며, DTD 인터커넥트들(130)은 DTD 인터커넥트들의 양측 상의 다이들(114)의 쌍의 더 큰 재료 유사성으로 인해 더 작은 열 응력을 겪을 수 있다. 일부 실시예들에서, 본 명세서에 개시된 DTPS 인터커넥트들(150)은 80 마이크로미터와 300 마이크로미터 사이의 피치를 가질 수 있는 반면, 본 명세서에 개시된 DTD 인터커넥트들(130)은 7 마이크로미터와 100 마이크로미터 사이의 피치를 가질 수 있다.
도 1a는 DTPS 인터커넥트들(150), DTD 인터커넥트들(130), 및 ML 인터커넥트들(152)의 특정한 수 및 배열을 도시하지만, 이들은 단순히 예시적인 것이며, 임의의 적절한 수 및 배열이 이용될 수 있다. 본 명세서에 개시된 인터커넥트들(예를 들어, DTPS, DTD, 및 ML 인터커넥트들)은, 예를 들어, 구리, 은, 니켈, 금, 알루미늄, 또는 다른 금속들 또는 합금들과 같은 임의의 적절한 전도성 재료로 형성될 수 있다.
다층 다이 서브어셈블리(104)는 다수의 층들을 형성하고, 층에 하나 이상의 다이를 내장하기 위해 절연 재료(예를 들어, 본 기술분야에 알려진 바와 같이, 다수의 층들에 형성된 유전체 재료)를 포함할 수 있다. 일부 실시예들에서, 다층 다이 서브어셈블리의 절연 재료는 유기 유전체 재료, FR-4(fire retardant grade 4 material), BT(bismaleimide triazine) 수지, 폴리이미드 재료들(polyimide materials), 유리 강화 에폭시 매트릭스 재료들(glass reinforced epoxy matrix materials), 또는 로우-k 및 울트라 로우-k 유전체(low-k and ultra low-k dielectric)(예를 들어, 탄소 도핑된 유전체들(carbon-doped dielectrics), 불소 도핑된 유전체들(fluorine-doped dielectrics), 다공성 유전체들(porous dielectrics), 및 유기 중합 유전체들(organic polymeric dielectrics))와 같은 유전체 재료일 수 있다. 다층 다이 서브어셈블리(104)는 (예를 들어, 도시된 바와 같이, 전도성 비아들 및/또는 전도성 필러들을 포함하는) 유전체 재료를 통한 하나 이상의 ML 인터커넥트를 포함할 수 있다. 다층 다이 서브어셈블리(104)는 임의의 적절한 치수들을 가질 수 있다. 예를 들어, 일부 실시예들에서, 다층 다이 서브어셈블리(104)의 두께는 100um와 2000um 사이일 수 있다. 다층 다이 서브어셈블리(104)는 임의의 적절한 수의 층들, 임의의 적절한 수의 다이들, 및 임의의 적절한 다이 배열을 가질 수 있다. 예를 들어, 일부 실시예들에서, 다층 다이 서브어셈블리(104)는 다이들의 3개와 20개 사이의 층들을 가질 수 있다. 일부 실시예들에서, 다층 다이 서브어셈블리(104)는 2개와 10개 사이의 다이들을 갖는 층을 포함할 수 있다.
패키지 기판(102)은 절연 재료(예를 들어, 본 기술분야에 알려진 바와 같이, 다수의 층들에 형성된 유전체 재료) 및 유전체 재료를 통한 (예를 들어, 도시된 바와 같이, 전도성 트레이스들 및/또는 전도성 비아들을 포함하는) 하나 이상의 전도성 경로를 포함할 수 있다. 일부 실시예들에서, 패키지 기판(102)의 절연 재료는 유기 유전체 재료, FR-4, BT 수지, 폴리이미드 재료들, 유리 강화 에폭시 매트릭스 재료들, 무기 필러들을 갖는 유기 유전체들 또는 로우-k 및 울트라 로우 k-유전체(예를 들어, 탄소 도핑된 유전체들, 불소 도핑된 유전체들, 다공성 유전체들, 및 유기 중합 유전체들)와 같은 유전체 재료일 수 있다. 특히, 패키지 기판(102)이 표준 PCB 프로세스들을 이용하여 형성되는 경우, 패키지 기판(102)은 FR-4를 포함할 수 있고, 패키지 기판(102)에서의 전도성 경로들은 FR-4의 빌드-업(build-up) 층들에 의해 분리된 패터닝된 구리 시트들에 의해 형성될 수 있다. 패키지 기판(102)에서의 전도성 경로들은 적절한 경우 접착 라이너들(adhesion liners) 및/또는 배리어 라이너들(barrier liners)과 같은 라이너 재료들에 의해 경계가 정해질 수 있다.
본 명세서에 개시된 다이들(114)은 절연 재료(예를 들어, 본 기술분야에 알려진 바와 같이, 다수의 층들에 형성된 유전체 재료) 및 절연 재료를 통해 형성된 다수의 전도성 경로들을 포함할 수 있다. 일부 실시예들에서, 다이(114)의 절연 재료는 실리콘 이산화물, 실리콘 질화물, 산질화물(oxynitride), 폴리이미드 재료들, 유리 강화 에폭시 매트릭스 재료들, 또는 로우-k 또는 울트라 로우-k 유전체(예를 들어, 탄소 도핑된 유전체들, 불소 도핑된 유전체들, 다공성 유전체들, 유기 중합 유전체들, 광 이미징가능 유전체들(photo-imageable dielectrics), 및/또는 벤조시클로부텐계 중합체들(benzocyclobutene-based polymers))와 같은 유전체 재료를 포함할 수 있다. 일부 실시예들에서, 다이(114)의 절연 재료는 실리콘, 게르마늄(germanium), 또는 III-V 재료(예를 들어, 질화 갈륨(gallium nitride))와 같은 반도체 재료, 및 하나 이상의 추가 재료를 포함할 수 있다. 예를 들어, 절연 재료는 실리콘 산화물 또는 실리콘 질화물을 포함할 수 있다. 다이(114)에서의 전도성 경로들은 전도성 트레이스들 및/또는 전도성 비아들을 포함할 수 있고, 다이(114)에서의 전도성 컨택트들 중 임의의 것을 (예를 들어, 다이(114)의 동일한 표면 상에 또는 상이한 표면들 상에 다수의 전도성 컨택트들을 접속하는) 임의의 적절한 방식으로 접속할 수 있다. 본 명세서에 개시된 다이들(114)에 포함될 수 있는 예시적인 구조들이 도 9를 참조하여 아래에 논의된다. 다이들(114)에서의 전도성 경로들은 적절한 경우 접착 라이너들 및/또는 배리어 라이너들과 같은 라이너 재료들에 의해 경계가 정해질 수 있다.
일부 실시예들에서, 다이(114-1) 및/또는 다이(114-4)는, 전력, 접지, 및/또는 신호들을 마이크로전자 어셈블리(100)에 포함된 다른 다이들(114)의 일부로/로부터 라우팅하는 전도성 경로들을 포함할 수 있다. 예를 들어, 다이(114-1, 114-4)는 배리어 산화물에 의해 주변 실리콘 또는 다른 반도체 재료로부터 격리된, 금속 비아와 같은 전도성 재료 비아, 또는 패키지 기판(102)과 다이(114-1, 114-4)의 "최상부 상의"(예를 들어, 하나 이상의 최상부 층에서의) 하나 이상의 다이(114)(예를 들어, 도 1a의 실시예에서, 다이(114-2), 다이(114-3), 다이(114-5) 및/또는 다이(114-6)) 사이에서 전력, 접지, 및/또는 신호들이 송신될 수 있게 하는 다른 전도성 경로들을 포함하는 TSV들을 포함할 수 있다. 일부 실시예들에서, 다이(114-1, 114-4)는 다이(114-1, 114-4)의 "최상부 상의" 다이들(114)(예를 들어, 도 1a의 실시예에서, 다이(114-2), 다이(114-3), 다이(114-5), 및/또는 다이(114-6)) 중 상이한 것들 사이에서 전력, 접지, 및/또는 신호들을 라우팅하기 위한 전도성 경로들을 포함할 수 있다. 일부 실시예들에서, 다이(114-1, 114-4)는 마이크로전자 어셈블리(100)에 포함된 다이(114-1, 114-4) 및 다른 다이들(114) 사이에서 통신되는 신호들의 소스 및/또는 목적지일 수 있다.
일부 실시예들에서, 다이(114-1)는 다이(114-2)에 전력 및/또는 접지를 라우팅하지 않을 수 있고; 대신에, 다이(114-2)는 ML 인터커넥트들(152)에 의해 패키지 기판(102)에서의 전력 및/또는 접지 라인들에 직접 결합할 수 있다. 다이(114-2)가 ML 인터커넥트들(152)을 통해 패키지 기판(102)에서의 전력 및/또는 접지 라인들에 직접 결합하는 것을 허용함으로써, 그러한 전력 및/또는 접지 라인들은 다이(114-1)를 통해 라우팅될 필요가 없어서, 다이(114-1)가 더 작게 만들어지거나 더 많은 능동 회로 또는 신호 경로들을 포함하도록 허용한다.
일부 실시예들에서, 다이(114-1, 114-4)는 전도성 경로들만을 포함할 수 있고, 능동 또는 수동 회로를 포함하지 않을 수 있다. 다른 실시예들에서, 다이(114-1, 114-4)는 능동 또는 수동 회로(예를 들어, 다른 것들 중에서, 트랜지스터들, 다이오드들, 저항기들, 인덕터들, 및 커패시터들)를 포함할 수 있다. 일부 실시예들에서, (예를 들어, 도 9를 참조하여 이하에서 논의되는 바와 같이) 다이(114-1, 114-4)는 트랜지스터들을 포함하는 하나 이상의 디바이스 층을 포함할 수 있다. 다이(114-1, 114-4)가 능동 회로를 포함할 때, 전력 및/또는 접지 신호들은 패키지 기판(102)을 통해, 그리고 다이(114-1, 114-4)의 최하부 표면 상의 전도성 컨택트들(122)을 통해 다이(114-1, 114-4)에 라우팅될 수 있다.
마이크로전자 어셈블리(100)의 요소들은 임의의 적절한 치수들을 가질 수 있다. 첨부 도면들의 서브세트만이 치수들을 나타내는 참조 번호들로 라벨링되지만, 이것은 단순히 도시의 명료성을 위한 것이고, 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 임의의 것은 본 명세서에서 논의된 치수들을 갖는 컴포넌트들을 가질 수 있다. 일부 실시예들에서, 패키지 기판(102)의 두께(164)는 0.1 밀리미터와 3 밀리미터 사이(예를 들어, 0.3 밀리미터와 2 밀리미터 사이, 0.25 밀리미터와 0.8 밀리미터 사이, 또는 대략 1 밀리미터)일 수 있다.
도 1a의 마이크로전자 어셈블리(100)의 요소들 중 다수는 첨부 도면들 중의 다른 도면들에 포함되고; 이들 요소들의 논의는 이들 도면들을 논의할 때 반복되지 않으며, 이들 요소들 중 임의의 것은 본 명세서에 개시된 임의의 형태들을 취할 수 있다. 일부 실시예들에서, 본 명세서에 개시된 마이크로전자 어셈블리들(100)의 개개의 마이크로전자 어셈블리들은 상이한 기능을 갖는 다수의 다이들(114)이 포함되는 시스템-인-패키지(system-in-package)(SiP)로서 기능할 수 있다. 그러한 실시예들에서, 마이크로전자 어셈블리(100)는 SiP로서 지칭될 수 있다.
도 2a는 다수의 다이들(114A)이 중간 다이(114B) 아래에 배치되고, 다수의 다이들(114C)이 중간 다이(114B) 위에 배치되고, 다이(114D)가 완전히 중간 다이(114B) 위에 (예를 들어, 다이(114-6)를 참조하여 본 명세서에 개시된 방식으로) 배치되는 배열을 도시한다. 다이들(114)은 동일한 다이일 수 있거나, 상이한 다이들일 수 있고, 임의의 적절한 회로를 포함할 수 있다. 예를 들어, 일부 실시예들에서, 다이(114A, 114C, 114D)는 능동 또는 수동 다이일 수 있고, 다이(114B)는 입력/출력 회로, 고대역폭 메모리, 및/또는 EDRAM(enhanced dynamic random access memory)을 포함할 수 있다. 다이들(114A)은 다이(114-1)를 참조하여 본 명세서에 개시된 방식들 중 임의의 것으로 패키지 기판(102)(도시되지 않음)에 접속될 수 있고, 본 명세서에 개시된 DTD 인터커넥트들 중 임의의 것에 의해 중간 다이(114B)에 접속될 수 있다. 다이들(114C 및 114D)은 본 명세서에 개시된 DTD 인터커넥트들 중 임의의 것에 의해 중간 다이(114B)에 접속될 수 있다. 도 2a에서, 다이들(114A)은 인접한 다이들(114C)의 에지들(205) 및/또는 코너들(207)과 "중첩(overlap)"한다. 다이들(114A)을 적어도 부분적으로 다이들(114C) 위에 배치하는 것은 라우팅 정체(routing congestion)를 감소시킬 수 있고, 다이(114A)가 본 명세서에 개시된 ML 인터커넥트들 중 임의의 것에 의해 다이(114C)에 접속될 수 있게 함으로써 다이들의 활용을 개선할 수 있다. 다이들(114A, 114C, 및 114D)은 단면 다이들 또는 양면 다이들일 수 있고, 단일 피치 다이 또는 혼합 피치 다이일 수 있다.
도 2b는 더 가는 전도성 컨택트들(124-4)이 더 굵은 전도성 컨택트들(124-3)을 프레임화하도록 배열된, "더 굵은" 전도성 컨택트들(124-3) 및 "더 가는" 전도성 컨택트들(124-4)을 갖는 혼합 피치 다이를 도시하는, 다이(114B)의 상면도이다. 도 2a는 다이의 표면 상의 전도성 컨택트들의 배열 및 다층 다이들의 배열을 도시하지만, 이들 배열들은 단순히 예시적인 것이며, 임의의 적절한 배열들이 이용될 수 있다.
도 1a의 실시예에서, 다층 다이 서브어셈블리(104)는 3개의 층들을 갖는 것으로 도시되어 있다. 본 명세서에 개시된 마이크로전자 어셈블리들(100)의 일부 실시예들에서, 다층 다이 서브어셈블리(104)는 3개보다 많은 층들을 가질 수 있다. 예를 들어, 도 3은 다층 다이 서브어셈블리(104)가 4개의 층들(104-1, 104-2, 104-3, 104-4)을 갖는 마이크로전자 어셈블리(100)의 실시예를 도시한다. 도 1a를 참조하여 위에서 논의된 바와 같이, 제1 층(104-1)은 다이들(114-1 및 114-4)을 포함할 수 있고, 제2 층(104-2)은 다이(114-2)를 포함할 수 있다. 도 1a를 참조하여 위에서 논의된 바와 같이, 제3 층(104-3)은 다이들(114-3 및 114-5)을 포함할 수 있고, 이는 다이들(114-3, 114-5)의 최상부 표면 상에 전도성 컨택트들(124)을 더 포함할 수 있고, 다이(114-6)를 생략할 수 있다. 제4 층(104-4)은 다이(114-7), 다이(114-8) 및 다이(114-9)를 포함할 수 있고, 다이들(114-7, 114-8, 114-9)은 다이들(114-7, 114-8, 114-9)의 최하부 표면 상에 전도성 컨택트들(122)을 포함할 수 있다. 다이(114-7)에 대해 도시된 바와 같이, 다이(114-7)의 최하부 표면 상의 전도성 컨택트들(122)은 DTD 인터커넥트들(130-1 및 130-2)에 의해 다이(114-3)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-8)에 대해 도시된 바와 같이, 다이(114-8)의 최하부 표면 상의 전도성 컨택트들(122)은 ML 인터커넥트들(152)에 의해 다이(114-2)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-9)에 대해 도시된 바와 같이, 다이(114-9)의 최하부 표면 상의 전도성 컨택트들(122)은 DTD 인터커넥트들(130-1 및 130-2)에 의해 다이(114-5)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있고, ML 인터커넥트들(152)에 의해 다이(114-4)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있다.
본 명세서에 개시된 마이크로전자 어셈블리들을 제조하기 위해 임의의 적절한 기술들이 이용될 수 있다. 예를 들어, 도 4a 내지 도 4i는 다양한 실시예들에 따른, 도 3의 마이크로전자 어셈블리(100)를 제조하기 위한 예시적인 프로세스의 다양한 스테이지들의 측단면도들이다. 도 4a 내지 도 4i(및 제조 프로세스들을 나타내는 첨부 도면들 중 다른 것들)를 참조하여 아래에 논의되는 동작들이 특정 순서로 도시되지만, 이들 동작들은 임의의 적절한 순서로 수행될 수 있다. 추가적으로, 특정 어셈블리들이 도 4a 내지 도 4i(및 제조 프로세스들을 나타내는 첨부 도면들 중 다른 것들)에 도시되지만, 도 4a 내지 도 4i를 참조하여 아래에 논의된 동작들이 임의의 적절한 어셈블리들을 형성하기 위해 이용될 수 있다. 일부 실시예들에서, 도 4a 내지 도 4i의 프로세스에 따라 제조된 마이크로전자 어셈블리들(100)(예를 들어, 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 임의의 것)은 솔더 인터커넥트들인 DTPS 인터커넥트들(150), 및 비-솔더 인터커넥트들(예를 들어, 금속-대-금속 인터커넥트들 또는 이방성 전도성 재료 인터커넥트들)인 DTD 인터커넥트들(130)을 가질 수 있다. 도 4a 내지 도 4i의 실시예에서, 다이들(114)은 먼저 복합 다이 내로 조립될 수 있고, 그 후 복합 다이는 패키지 기판(102)에 결합될 수 있다. 이러한 방안은 DTD 인터커넥트들(130)의 형성시에 더 엄격한 허용 오차들을 허용할 수 있고, 비교적 작은 다이들(114)에 대해 그리고 3개 이상의 층들을 갖는 복합 다이에 대해 특히 바람직할 수 있다.
도 4a는 캐리어(402)의 최상부 표면 상에 전도성 필러들(434)을 형성한 이후의 캐리어(402)를 포함하는 어셈블리(400A)를 도시한다. 캐리어(402)는 제조 동작들 동안 기계적 안정성을 제공하기 위한 임의의 적절한 재료를 포함할 수 있다. 전도성 필러들(434)은 전도성 필러들(434)이 존재하지 않는 하나 이상의 과소 영역(de-population region)(455)을 형성하도록 배치될 수 있다. 전도성 필러들(434)은 본 명세서에 개시된 실시예들 중 임의의 것의 형태를 취할 수 있고, 임의의 적절한 기술, 예를 들어, 콜드 스프레이(cold spray) 또는 3차원 프린팅(3-dimensional printing)과 같은 리소그래피 프로세스 또는 애디티브 프로세스(additive process)를 이용하여 형성될 수 있다. 예를 들어, 전도성 필러들(434)은 캐리어(402)의 최상부 표면 상에 포토레지스트 층을 퇴적(depositing), 노출(exposing), 및 현상(developing)함으로써 형성될 수 있다. 포토레지스트 층은 전도성 필러들의 형상으로 캐비티들(cavities)을 형성하도록 패터닝될 수 있다. 전도성 필러들(434)을 형성하기 위해, 구리와 같은 전도성 재료가 패터닝된 포토레지스트 층에서의 개구들에 퇴적될 수 있다. 전도성 재료는 전기 도금(electroplating), 스퍼터링(sputtering), 또는 무전해 도금(electroless plating)과 같은 임의의 적절한 프로세스를 이용하여 퇴적될 수 있다. 포토레지스트는 제거되어 전도성 필러들(434)을 노출시킬 수 있다. 전도성 필러들(434)은 임의의 적절한 전도성 재료, 예를 들어, 구리와 같은 금속을 포함할 수 있다. 전도성 필러들(434)은 층에서의 가장 두꺼운 다이의 두께와 대략 동일한 두께를 갖도록 형성될 수 있다. 일부 실시예들에서, 다이들(114-1 및 114-4)은 동일한 두께를 가질 수 있다. 일부 실시예들에서, 다이들(114-1 및 114-4)은 상이한 두께를 가질 수 있고, 전도성 필러들은 (예를 들어, 도 5에 도시된 바와 같이) 더 큰 두께와 동일한 두께를 가질 수 있다. 일부 실시예들에서, 포토레지스트 재료 및 전도성 재료를 퇴적하기 전에 캐리어의 최상부 표면 상에 시드 층(seed layer)(483)이 형성될 수 있다. 시드 층(483)은 구리를 포함하는 임의의 적절한 전도성 재료일 수 있다. 시드 층(483)은, 다른 것들 중에서 화학적 에칭을 포함하는 임의의 적절한 프로세스를 이용하여, 포토레지스트 층을 제거한 후에, 제거될 수 있다. 일부 실시예들에서, 시드 층은 생략될 수 있다.
전도성 필러들(434)은 금속과 같은 임의의 적절한 전도성 재료로 형성될 수 있다. 일부 실시예들에서, 전도성 필러들(434)은 구리를 포함할 수 있다. 전도성 필러들(434)은 임의의 적절한 치수들을 가질 수 있고, 하나 이상의 층에 걸쳐 연장되어 ML 인터커넥트들을 형성할 수 있다. 예를 들어, 일부 실시예들에서, 개개의 전도성 필러(434)는 1:1과 4:1 사이(예를 들어, 1:1과 3:1 사이)의 종횡비(aspect ratio)(높이:직경)를 가질 수 있다. 일부 실시예들에서, 개개의 전도성 필러(434)는 10 마이크로미터와 300 마이크로미터 사이의 직경을 가질 수 있다. 일부 실시예들에서, 개개의 전도성 필러(434)는 50 마이크로미터와 400 마이크로미터 사이의 직경을 가질 수 있다. 일부 실시예들에서, 구리 필러들은 10 마이크로미터와 300 마이크로미터 사이의 높이를 가질 수 있다. 전도성 필러들은 임의의 적절한 단면 형상, 예를 들어, 다른 것들 중에서, 정사각형, 삼각형 및 타원형을 가질 수 있다. 일부 실시예들에서, 전도성 필러들은 열 전도 목적들을 위해 다이(114)의 최상부 표면에 결합될 수 있다.
도 4b는 어셈블리(400A)(도 4a)의 과소 영역들(455)에 다이들(114-1, 114-4)을 배치한 이후의 어셈블리(400B)를 도시한다. 다이(114)는 다이 부착 막(die attach film)(DAF)과 같은 임의의 적절한 기술을 이용하여 캐리어(402) 상에 배치될 수 있다. 다이(114)는 개선된 기계적 안정성을 제공하는 다이(114)의 최상부 상의 비전기적 재료 층(도시되지 않음) 또는 캐리어(도시되지 않음)를 포함할 수 있다. 다이(114)의 비활성 부분인 비전기적 재료 층은, 다른 재료들 중에서, 실리콘, 세라믹(ceramic), 또는 석영(quartz)을 포함할 수 있다. 예를 들어, 릴리스 층(release layer)을 포함하는, 비전기적 재료 층은, 임의의 적절한 기술을 이용하여 다이(114)에 부착될 수 있다. 릴리스 층(본 명세서에서 디본딩 층(debonding layer)으로도 지칭됨)은, 예를 들어, 열(heat) 또는 광(light)에 노출될 때 릴리스되는 임시 접착제(temporary adhesive) 또는 다른 재료를 포함할 수 있다. 비전기적 재료 층은, 예를 들어, 그라인딩(grinding), 반응성 이온 에칭(reactive ion etching)(RIE) 또는 화학적 에칭과 같은 에칭을 포함하거나, 또는 디본딩 층이 광 반응성(photo-reactive) 또는 열 반응성(thermally-reactive) 재료를 포함하는 경우, 광 또는 열을 인가하는 임의의 적절한 기술을 이용하여 제거될 수 있다. 캐리어는 기계적 안정성을 제공하기 위한 임의의 적절한 재료를 포함할 수 있다. 캐리어는, 예를 들어, 제거가능한 접착제를 포함하는 임의의 적절한 기술을 이용하여 다이(114)에 부착될 수 있다.
도 4c는 어셈블리(400B)(도 4b)의 다이들(114-1, 114-4) 및 전도성 필러들(434) 주위에 절연 재료(430)를 제공한 이후의 어셈블리(400C)를 도시한다. 일부 실시예들에서, 절연 재료(430)는 초기에 전도성 필러들(434) 및 다이들(114-1, 114-4)의 최상부들 상에 및 그 위에 퇴적된 후, 다시 연마되어 다이들(114-1, 114-4)의 최상부 표면 및 전도성 필러들(434)의 최상부 표면들에서 전도성 컨택트들(124)을 노출시킬 수 있다. 일부 실시예들에서, 절연 재료(430)는 무기 실리카 입자들(inorganic silica particles)을 갖는 유기 중합체와 같은 몰드 재료(mold material)이다. 일부 실시예들에서, 절연 재료(430)는 유전체 재료이다. 일부 실시예들에서, 유전체 재료는 유기 유전체 재료, FR-4, BT 수지, 폴리이미드 재료들, 유리 강화 에폭시 매트릭스 재료들, 또는 로우-k 및 울트라 로우-k 유전체(예를 들어, 탄소 도핑된 유전체들, 불소 도핑된 유전체들, 다공성 유전체들, 및 유기 중합 유전체들)를 포함할 수 있다. 유전체 재료는 적층(lamination), 또는 슬릿 코팅(slit coating) 및 경화(curing)를 포함하는 임의의 적절한 프로세스를 이용하여 형성될 수 있다. 유전체 층이 전도성 필러들(434) 및 다이들(114-1, 114-4)을 완전히 덮도록 형성되는 경우, 유전체 층은, 그라인딩, 또는 습식 에칭, 건식 에칭(예를 들어, 플라즈마 에칭)과 같은 에칭, 습식 블라스트(wet blast), 또는 (예를 들어, 엑시머 레이저를 이용한) 레이저 어블레이션(laser ablation)을 포함하는 임의의 적절한 기술을 이용하여 전도성 필러들(434)의 최상부 표면들 및 다이들(114-1, 114-4)의 최상부 표면들에서 전도성 컨택트들(124)을 노출시키기 위해 제거될 수 있다. 일부 실시예들에서, 절연 층(430)의 두께는 요구되는 에칭 시간을 감소시키기 위해 최소화될 수 있다.
도 4d는 다이들(114-1, 114-4)의 최상부 표면에서의 전도성 컨택트들(124) 상에 및 하나 이상의 전도성 필러(434)의 최상부 표면 상에 전도성 필러들(435)을 형성한 이후의 어셈블리(400D)를 도시한다. 전도성 필러들(435)은 본 명세서에 개시된 실시예들 중 임의의 것의 형태를 취할 수 있고, (예를 들어, 도 4a를 참조하여 전술한 바와 같은) 임의의 적절한 기술을 이용하여 형성될 수 있다. 전도성 필러들(435)은 전도성 필러들(435)이 존재하지 않는 하나 이상의 과소 영역(456)을 형성하도록 배치될 수 있다.
도 4e는 어셈블리(400D)(도 4d)의 과소 영역들(456)에 다이들(114-2)을 배치하고, 다이(114-2)를 다이들(114-1 및 114-4)에 결합하여, 다이(114-2)의 최하부 표면 상의 전도성 컨택트들(122)이 (DTD 인터커넥트들(130-1)을 통해) 다이들(114-1 및 114-4)의 최상부 표면 상의 전도성 컨택트들(124)에 결합될 수 있게 한 이후의 어셈블리(400E)를 도시한다. 본 명세서에 개시된 금속-대-금속 부착 기술들, 솔더 기술들, 또는 이방성 전도성 재료 기술들과 같은 임의의 적절한 기술이 어셈블리(400E)의 DTD 인터커넥트들(130)을 형성하기 위해 이용될 수 있다. 다이(114-2)는 도 4b를 참조하여 전술한 바와 같은 임의의 적절한 기술을 이용하여 캐리어(402) 상에 배치될 수 있다. 일부 실시예들에서, 언더필 재료가 다이(114-2)와 다이들(114-1, 114-4) 사이에 도포될 수 있고/있거나 DTD 인터커넥트들(130)에 도포될 수 있다. 일부 실시예들에서, 다이는 사전 부착된 NCF를 포함할 수 있다.
도 4f는 어셈블리(400E)(도 4e)의 다이들(114-2) 및 전도성 필러들(435) 주위에 절연 재료(431)를 제공한 이후의 어셈블리(400F)를 도시한다. 절연 재료(431)는 도 4c를 참조하여 전술한 바와 같이 형성될 수 있다.
도 4g는 도 4d 내지 도 4f에서 설명된 프로세스를 반복함으로써 어셈블리(400F) 상에 다른 층을 형성한 이후의 어셈블리(400G)를 도시한다. 도 4g에 도시된 바와 같이, 어셈블리(400G)는 다이(114-2)의 최상부 표면에서 전도성 컨택트들(124) 상에 및 하나 이상의 전도성 필러(435)의 최상부 표면 상에 전도성 필러들(436)을 형성하고, 다이들(114-3, 114-5)을 DTD 인터커넥트들을 통해 다이(114-2)에 배치 및 결합하고, 다이들(114-3, 114-5) 및 전도성 필러들(436) 주위에 절연 재료(432)를 제공함으로써 형성될 수 있다. 다이(114-3)는 ML 인터커넥트들(152)에 의해 다이(114-1)에 결합될 수 있고, 다이(114-5)는 ML 인터커넥트(152)에 의해 다이(114-4)에 결합될 수 있다.
도 4h는 도 4e 내지 도 4f에서 설명된 프로세스를 반복함으로써 어셈블리(400G) 상에 다른 층을 형성한 이후의 어셈블리(400H)를 도시한다. 도 4h에 도시된 바와 같이, 어셈블리(400H)는 다이들(114-7, 114-8, 114-9)을 배치하고, 다이들 주위에 절연 재료(433)를 제공함으로써 형성될 수 있다. 다이(114-7)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-3)에 결합될 수 있다. 다이(114-8)는 ML 인터커넥트들(152)에 의해 다이(114-2)에 결합될 수 있다. 다이(114-9)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-5)에 결합될 수 있고, ML 인터커넥트들(152)에 의해 다이(114-4)에 결합될 수 있다. 도 4d 내지 도 4f와 관련하여 설명된 바와 같이 프로세스를 반복함으로써 복합 다이의 추가 층들이 형성될 수 있다.
도 4i는 캐리어(402)를 제거하고, 복합 다이들을 싱귤레이팅(singulating)한 이후의 어셈블리(400I)를 도시한다. (예를 들어, 몰드 재료를 퇴적하는 것, 히트 스프레더(heat spreader)를 부착하는 것, 솔더 레지스트 층을 퇴적하는 것, 패키지 기판 또는 회로 보드에 결합하기 위해 솔더 볼들을 부착하는 것 등의) 추가 동작들이 싱귤레이팅 이전 또는 이후에 적절한 것으로서 수행될 수 있다. 어셈블리(400I)가 패키지 기판 또는 회로 보드에 전기적으로 결합하기 위해 다이들(114-1 및 114-4)의 최하부 표면 상의 전도성 컨택트들(122)을 갖지만, 일부 실시예들에서, 다이들(114-7, 114-8, 및/또는 114-9)은, 어셈블리가 다이들(114-7, 114-8, 및/또는 144-9)의 최상부 표면 상의 인터커넥트들을 통해 패키지 기판 또는 회로 보드에 반전(inverted)되거나 "뒤집혀(flipped)" 결합될 수 있도록, 최상부 표면 상의 전도성 컨택트들을 포함할 수 있다.
본 명세서에 개시된 마이크로전자 어셈블리들(100)의 일부 실시예들에서, 다층 다이 서브어셈블리(104)는 본 명세서에서 패키지 기판 부분(package substrate portion)이라고도 지칭되는 재분배 층(redistribution layer)(RDL)(148)을 포함할 수 있다. 예를 들어, 도 5는 다층 다이 서브어셈블리(104)가 4개의 층들(104-1, 104-2, 104-3, 104-4), 및 제2 층(104-2)과 제3 층(104-3) 사이의 RDL을 갖는 마이크로전자 어셈블리(100)의 실시예를 도시한다. 도 3을 참조하여 위에서 논의된 바와 같이, 제1 층(104-1)은 다이들(114-1 및 114-4)을 포함할 수 있고, 제2 층(104-2)은 다이(114-2)를 포함할 수 있다. 제3 층(104-3)은 다이들(114-3, 114-10)의 최하부 표면 상의 전도성 컨택트들(122), 및 다이들(114-3, 114-10)의 최상부 표면 상의 전도성 컨택트들(124)을 포함할 수 있는 다이들(114-3, 114-10)을 포함할 수 있다. 다이(114-3)에 대해 도시된 바와 같이, 다이(114-3)의 최하부 표면 상의 전도성 컨택트들(122)은 다이-대-RDL(DTRDL) 인터커넥트들(155-1, 155-2)에 의해 RDL(148)의 최상부 표면 상의 전도성 컨택트들(174)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-10)에 대해 도시된 바와 같이, 다이(114-10)의 최하부 표면 상의 전도성 컨택트들(122)은 DTRDL 인터커넥트들(155-2, 155-3)에 의해 RDL(148)의 최상부 표면 상의 전도성 컨택트들(174)에 전기적 및 기계적으로 결합될 수 있다. 본 명세서에 개시된 DTRDL 인터커넥트들(155)을 형성하기 위해 도금 기술들, 솔더 기술들, 또는 이방성 전도성 재료 기술들과 같은 임의의 적절한 기술이 이용될 수 있다. 제4 층(104-4)은 다이(114-11), 다이(114-12) 및 다이(114-13)를 포함할 수 있고, 다이들(114-11, 114-12, 114-13)은 다이들(114-11, 114-12, 114-13)의 최하부 표면 상에 전도성 컨택트들(122)을 포함할 수 있다. 다이(114-11)에 대해 도시된 바와 같이, 다이(114-11)의 최하부 표면 상의 전도성 컨택트들(122)은 DTD 인터커넥트들(130-1 및 130-2)에 의해 다이(114-3)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-12)에 대해 도시된 바와 같이, 다이(114-12)의 최하부 표면 상의 전도성 컨택트들(122)은 ML 인터커넥트들(152)에 의해 RDL(148)의 최상부 표면 상의 전도성 컨택트들(174)에 전기적 및 기계적으로 결합될 수 있다. 다이(114-13)에 대해 도시된 바와 같이, 다이(114-13)의 최하부 표면 상의 전도성 컨택트들(122)은 DTD 인터커넥트들(130-1 및 130-2)에 의해 다이(114-10)의 최상부 표면 상의 전도성 컨택트들(124)에 전기적 및 기계적으로 결합될 수 있고, ML 인터커넥트들(152)에 의해 RDL(148)의 최상부 표면 상의 전도성 컨택트들(174)에 전기적 및 기계적으로 결합될 수 있다.
도 5는 단일 RDL을 갖는 다층 다이 서브어셈블리(104)를 도시하지만, 임의의 수의 RDL들이 복합 다이에 포함될 수 있고, 임의의 다이 층들 사이에 위치될 수 있다. 일부 실시예들에서, 마이크로전자 어셈블리는 추가적인 라우팅 능력을 제공하기 위해 전도성 필러를 갖는 층 위에 RDL을 포함할 수 있다. RDL(148)은 도 1a의 패키지 기판(102)의 형성을 참조하여 위에서 논의된 임의의 기술들과 같은 임의의 적절한 기술을 이용하여 형성될 수 있다. 일부 실시예들에서, RDL(148)을 형성하는 것은, RDL(148)의 근접한 전도성 컨택트들(174)을 형성하는 것의 일부로서 다이(114-1)의 전도성 컨택트들(122)을 금속 또는 다른 전도성 재료로 도금하는 것을 포함할 수 있고; 결과적으로, 다이(114-3, 114-10)와 RDL(148) 사이의 DTRDL 인터커넥트들(155)은 도금된 인터커넥트들일 수 있다. 이어서, 솔더 인터커넥트들인 DTRDL 인터커넥트들(155), 및 비-솔더 인터커넥트들(예를 들어, 도금된 인터커넥트들)인 DTRDL 인터커넥트들(155)을 포함하는 다이들(114-3 및 114-10)은 본 명세서에 개시된 임의의 기술들에 따라 RDL(148)의 최상부 표면에 부착될 수 있다.
본 명세서에 개시된 마이크로전자 어셈블리들(100)의 일부 실시예들에서, 다층 다이 서브어셈블리(104)에 포함된 다이들(114)은 상이한 두께들을 가질 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 다이(114-10)는 다이(114-3)의 두께(167)보다 더 작은 두께(165)를 가질 수 있고, 다이들(114-11, 114-12, 114-13)은 다이(114-3)의 두께(167)보다 더 큰 두께(169)를 가질 수 있다. 도 5는 다이들(114-11, 114-12, 114-13)이 동일한 두께를 갖는 것으로 도시하지만, 다이들(114)은 임의의 적절한 두께를 가질 수 있고, 다양한 두께들을 가질 수 있다. 일부 실시예들에서, 최상부 층에서의 다이의 두께는, 더 큰 다이 두께가 증가된 기계적 강도 및 지지를 제공할 수 있기 때문에, 싱귤레이션 동안의 파손을 방지하기 위해 최상부 층 이외의 층에서의 다이의 두께보다 더 클 수 있다. 일부 실시예들에서, 다이들(114)은 열적 및/또는 전기적 목적들을 위해 더 두껍게 또는 더 얇게 만들어질 수 있다.
도 6a 내지 도 6f는 다양한 실시예들에 따른, 도 5의 마이크로전자 어셈블리(100)를 제조하기 위한 예시적인 프로세스에서의 다양한 스테이지들의 측단면도들이다. 도 6a는 캐리어(602)의 최상부 표면 상에 전도성 필러들(634)을 형성한 이후의 캐리어(602)를 포함하는 어셈블리(600A)를 도시한다. 캐리어(402)는 도 4를 참조하여 전술한 바와 같이, 제조 동작들 동안 기계적 안정성을 제공하기 위한 임의의 적절한 재료를 포함할 수 있다. 전도성 필러들(634)은 전도성 필러들(634)이 존재하지 않는 하나 이상의 과소 영역(655)을 형성하도록 배치될 수 있다. 전도성 필러들(634)은 본 명세서에 개시된 실시예들 중 임의의 것의 형태를 취할 수 있고, 예를 들어, 도 4를 참조하여 전술한 바와 같은 임의의 적절한 기술을 이용하여 형성될 수 있다.
도 6b는 어셈블리(600A)(도 6a)의 과소 영역들(655)에 다이들(114-1, 114-4)을 배치하고, 어셈블리(600A)(도 6a)의 다이들(114-1, 114-4) 및 전도성 필러들(634) 주위에 절연 재료(630)를 제공한 이후의 어셈블리(600B)를 도시한다. 다이들(114)은 임의의 적절한 기술을 이용하여 캐리어(602) 상에 배치될 수 있고, 절연 재료는 도 4를 참조하여 전술한 바와 같은 임의의 적절한 기술을 이용하여 제공될 수 있다.
도 6c는 어셈블리(600B) 상에 제2 다이 층을 형성한 이후의 어셈블리(600C)를 도시한다. 제2 다이 층은 다이들(114-1, 114-4)의 최상부 표면에서의 전도성 컨택트들(124) 상에 및 하나 이상의 전도성 필러(634)의 최상부 표면 상에 전도성 필러들(635)을 형성하고, 다이(114-2)를 배치하고, 다이(114-2) 및 전도성 필러들(635) 주위에 절연 재료(631)를 제공함으로써 형성될 수 있다. 전도성 필러들(635)은 본 명세서에 개시된 실시예들 중 임의의 것의 형태를 취할 수 있고, (예를 들어, 도 4를 참조하여 전술한 것과 같은) 임의의 적절한 기술을 이용하여 형성될 수 있다. 다이(114-2)는 도 4를 참조하여 전술한 바와 같이, DTD 인터커넥트들(130-2)에 의해 다이(114-1, 114-4)에, 그리고 전도성 필러들(634)에 결합될 수 있다.
도 6d는 어셈블리(600C) 상에 RDL(648)을 형성한 이후의 어셈블리(600D)를 도시한다. RDL(148)은 PCB 기술 또는 재분배 층 기술과 같은 임의의 적절한 기술을 이용하여 제조될 수 있다. RDL(648)은 최하부 표면 상의 전도성 컨택트들(672) 및 RDL(648)의 최상부 표면 상의 전도성 컨택트들(674)을 포함할 수 있다.
도 6e는 어셈블리(600D) 상에 제3 다이 층을 형성한 이후의 어셈블리(600E)를 도시한다. 제3 다이 층은 RDL(648)의 최상부 표면 상의 전도성 컨택트들(674) 상에 전도성 필러들(636)을 형성하고, 다이들(114-3 및 114-10)을 배치 및 결합하고, 다이들(114-3, 114-10) 및 전도성 필러들(636) 주위에 절연 재료(632)를 제공함으로써 형성될 수 있다. 전도성 필러들(635)은 본 명세서에 개시된 실시예들 중 임의의 것의 형태를 취할 수 있고, (예를 들어, 도 4를 참조하여 전술한 것과 같은) 임의의 적절한 기술을 이용하여 형성될 수 있다. 다이들(114-3 및 114-10)은 도 5를 참조하여 전술한 바와 같이, DTRDL 인터커넥트들(155-1, 155-2, 및 155-3)에 의해 RDL(648)의 최상부 표면 상의 전도성 컨택트들(174)에 결합될 수 있다.
도 6f는 도 6c 및/또는 도 4d 내지 도 4f에서 설명된 프로세스를 반복함으로써 어셈블리(600E) 상에 제4 다이 층을 형성한 이후의 어셈블리(600F)를 도시한다. 도 6f에 도시된 바와 같이, 어셈블리(600F)는 다이들(114-11, 114-12, 및 114-13)을 배치 및 결합하고, 다이들(114-11, 114-12, 114-13) 주위에 절연 재료를 제공함으로써 형성될 수 있다. 다이(114-11)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-3)에 결합될 수 있고, 다이(114-12)는 ML 인터커넥트들(152)에 의해 RDL(648)에 결합될 수 있고, 다이(114-13)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-10)에 결합될 수 있고, ML 인터커넥트들(152)에 의해 RDL(648)에 결합될 수 있다. 추가적인 다이 층 및/또는 RDL이 도 6a 내지 도 6f와 관련하여 설명된 프로세스를 반복함으로써 형성될 수 있다. 도 6은 어셈블리(600)를 단일 다층 다이 어셈블리로서 도시하지만, 다수의 어셈블리들이 캐리어(602) 상에 형성되고, 캐리어(602)로부터 제거되고, 다음으로 싱귤레이팅될 수 있다. 어셈블리(600F)는 캐리어(602)로부터 제거될 수 있고, (예를 들어, 패키지 기판(102)에 부착하는 것 등의) 추가 동작들이 적절한 것으로서 수행될 수 있다.
본 명세서에 개시된 마이크로전자 어셈블리들(100)의 일부 실시예들에서, 다층 다이 서브어셈블리(104)는 패키지 기판 부분(149)에 내장된 다이(114)를 포함할 수 있다. 예를 들어, 도 7은 다층 다이 서브어셈블리(104)가 6개의 다이 층들(104-1, 104-2, 104-3, 104-4, 104-5, 104-6)을 갖고, 2개의 층들(예를 들어, 제1 층(104-1) 및 제4 층(104-4))이 패키지 기판 부분(149)을 포함할 수 있는 마이크로전자 어셈블리(100)의 실시예를 도시한다. 패키지 기판 부분(149)은 최하부 표면 상의 전도성 컨택트들(172) 및 패키지 기판 부분(149)의 최상부 표면 상의 전도성 컨택트들(174)을 포함할 수 있다. 예를 들어, 범플리스 빌드-업 층 기술(bumpless build-up layer technique), 캐리어-기반 패널-레벨 코어리스 패키지 기판 제조 기술(carrier-based panel-level coreless package substrate manufacturing technique), 또는 내장된 패널-레벨 본딩 기술(embedded panel-level bonding technique)을 포함하는 임의의 적절한 기술이 패키지 기판 부분(149)을 형성하는데 이용될 수 있다. 일부 실시예들에서, 패키지 기판 부분(149)을 형성하는 것은, 패키지 기판 부분(149)의 최상부 표면 상에 근접한 전도성 컨택트들(174)을 형성하는 것의 일부로서 다이(114)의 최상부 표면 상의 전도성 컨택트들(124)을 금속 또는 다른 전도성 재료로 도금하는 것을 포함할 수 있고; 결과적으로, 다이(114)와 패키지 기판 부분(149) 사이의 DTPS 인터커넥트들(150)은 도금된 인터커넥트들일 수 있다.
도 7에 도시된 바와 같이, 제1 층(104-1)은 패키지 기판 부분(149-1)에 내장된 다이(114-14)를 포함할 수 있다. 다이(114-14)는 DTPS 인터커넥트들(150-1, 150-2)에 의해 패키지 기판(102)에 결합될 수 있다. 제2 층(104-2)은 다이들(114-15 및 114-16)을 포함할 수 있다. 다이(114-15)는 DTPS 인터커넥트들(150-1)에 의해 패키지 기판 부분(149-1)에 결합되고, DTD 인터커넥트들(130-2)에 의해 다이(114-14)에 결합될 수 있다. 다이(114-16)는 DTPS 인터커넥트들(150-1)에 의해 패키지 기판 부분(149-1)에 결합될 수 있고, DTD 인터커넥트들(130-2)에 의해 다이(114-14)에 결합될 수 있다. 제3 층(104-3)은 다이들(114-17, 114-18, 및 114-19)을 포함할 수 있다. 다이(114-17)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-15)에 결합될 수 있다. 다이(114-18)는 ML 인터커넥트들(152)에 의해 다이(114-14)에 결합될 수 있다. 다이(114-19)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-16)에, 그리고 ML 인터커넥트들(152)에 의해 패키지 기판 부분(149-1)에 결합될 수 있다. 제4 층(104-4)은 패키지 기판 부분(149-2)에 내장된 다이(114-20)를 포함할 수 있다. 다이(114-20)는 DTD 인터커넥트들(130-2)에 의해 다이(114-17 및 114-19)에 결합될 수 있다. 제5 층(104-5)은 다이들(114-21 및 114-22)을 포함할 수 있다. 다이(114-21)는 DTPS 인터커넥트들(150-1)에 의해 패키지 기판 부분(149-2)에 결합될 수 있고, DTD 인터커넥트들(130-2)에 의해 다이(114-20)에 결합될 수 있다. 다이(114-22)는 DTD 인터커넥트들(130-2)에 의해 다이(114-20)에 결합될 수 있고, DTPS 인터커넥트들(150-1)에 의해 패키지 기판 부분(149-2)에 결합될 수 있다. 제6 층(104-6)은 다이들(114-23, 114-24 및 114-25)을 포함할 수 있다. 다이(114-23)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-21)에 결합될 수 있다. 다이(114-24)는 ML 인터커넥트들(152)에 의해 다이(114-20)에 결합될 수 있다. 다이(114-25)는 DTD 인터커넥트들(130-1, 130-2)에 의해 다이(114-22)에 결합될 수 있고, ML 인터커넥트들(152)에 의해 패키지 기판 부분(149-2)에 결합될 수 있다. DTPS 인터커넥트들(150), DTD 인터커넥트들(130), 및 ML 인터커넥트들(152)은 본 명세서에 개시된 각각의 인터커넥트들 중 임의의 것일 수 있다.
도 7은 다이들, 인터커넥트들, 및 패키지 기판 부분들의 특정한 수 및 배열을 도시하지만, 임의의 수 및 배열의 다이들, 인터커넥트들, 및 패키지 기판 부분들이 이용될 수 있고, 하나 이상의 RDL을 더 포함할 수 있다.
본 명세서에 개시된 마이크로전자 어셈블리들(100)은 임의의 적절한 응용에 이용될 수 있다. 예를 들어, 일부 실시예들에서, 마이크로전자 어셈블리(100)는 필드 프로그래머블 게이트 어레이(field programmable gate array)(FPGA) 송수신기들 및 III-V 증폭기들을 위한 초고밀도 및 고대역폭 인터커넥트를 제공하는데 이용될 수 있다.
보다 일반적으로, 본 명세서에 개시된 마이크로전자 어셈블리들(100)은 일부 종래의 방안들에 따라, 상이한 종류의 기능적 회로들의 "블록들"이, 단일의 큰 다이에 포함된 모든 회로들을 갖는 대신에, 다이들(114) 중 상이한 다이들로 분산되는 것을 허용할 수 있다. 일부 그러한 종래의 방안들에서, 단일의 큰 다이는 이러한 상이한 회로들 모두를 포함하여 회로들 사이의 고대역폭, 저손실 통신을 달성할 것이고, 이러한 회로들의 일부 또는 전부는 큰 다이의 능력들을 조정하기 위해 선택적으로 디스에이블될 수 있다. 그러나, 마이크로전자 어셈블리들(100)의 ML 인터커넥트들(152) 및/또는 DTD 인터커넥트들(130)은 다이들(114) 중 상이한 다이들 및 다이들(114) 중 상이한 다이들과 패키지 기판(102) 사이의 고대역폭, 저손실 통신을 허용할 수 있기 때문에, 상이한 회로들이 상이한 다이들(114) 내로 분산될 수 있고, 상이한 다이들(114)(예를 들어, 상이한 제조 기술들을 이용하여 형성된 다이들(114))이 상이한 기능을 달성하도록 쉽게 스와핑(swapping)되도록 허용함으로써, 전체 제조 비용을 감소시키고, 수율을 개선하고, 설계 유연성을 증가시킬 수 있다.
다른 예에서, 마이크로전자 어셈블리(100)에서의 능동 회로를 포함하는 다이(114-2)는 다른 다이들(114) 사이(다양한 실시예들에서, 예를 들어, 다이들(114-1 및 114-4) 사이, 또는 다이들(114-1 및 114-3) 사이)에 "활성" 브리지를 제공하기 위해 이용될 수 있다. 다른 예에서, 마이크로전자 어셈블리(100)에서의 다이(114-1)는 처리 디바이스(예를 들어, 중앙 처리 유닛, 그래픽 처리 유닛, FPGA, 모뎀, 애플리케이션 프로세서 등)일 수 있고, 다이(114-2)는 고대역폭 메모리, 송수신기 회로, 및/또는 입력/출력 회로(예를 들어, 더블 데이터 레이트 전송 회로(Double Data Rate transfer circuitry), 주변 컴포넌트 인터커넥트 익스프레스 회로(Peripheral Component Interconnect Express circuitry) 등)를 포함할 수 있다. 일부 실시예들에서, 다이(114-1)는 고대역폭 메모리 다이(114-2)와 인터페이스하기 위한 전도성 컨택트들(124)의 세트, 입력/출력 회로 다이(114-2)와 인터페이스하기 위한 전도성 컨택트들(124)의 상이한 세트 등을 포함할 수 있다. 특정한 고대역폭 메모리 다이(114-2), 입력/출력 회로 다이(114-2) 등은 당면한 응용을 위해 선택될 수 있다.
다른 예에서, 마이크로전자 어셈블리(100)에서의 다이(114-2)는 캐시 메모리(예를 들어, 제3 레벨 캐시 메모리)일 수 있고, 하나 이상의 다이(114-1, 114-4, 114-3, 및/또는 114-5)는 다이(114-2)의 캐시 메모리를 공유하는 처리 디바이스들(예를 들어, 중앙 처리 유닛, 그래픽 처리 유닛, FPGA, 모뎀, 애플리케이션 프로세서 등)일 수 있다.
다른 예에서, 다이(114)는 단일 실리콘 기판일 수 있거나, 메모리 스택과 같은 복합 다이일 수 있다.
본 명세서에 개시된 마이크로전자 어셈블리들(100)은 임의의 적절한 전자 컴포넌트에 포함될 수 있다. 도 8 내지 도 11은 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 임의의 것을 포함할 수 있거나 그들에 포함될 수 있는 장치들의 다양한 예들을 도시한다.
도 8은 (예를 들어, 다이들(114) 중 임의의 적절한 것들로서) 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 임의의 것에 포함될 수 있는 웨이퍼(1500) 및 다이들(1502)의 상면도이다. 웨이퍼(1500)는 반도체 재료로 구성될 수 있고, 웨이퍼(1500)의 표면 상에 형성된 IC 구조체들을 갖는 하나 이상의 다이(1502)를 포함할 수 있다. 다이들(1502) 각각은 임의의 적절한 IC를 포함하는 반도체 제품의 반복 유닛일 수 있다. 반도체 제품의 제조가 완료된 후에, 웨이퍼(1500)는 다이들(1502)이 반도체 제품의 개별 "칩들"을 제공하도록 서로로부터 분리되는 싱귤레이션 프로세스(singulation process)를 겪을 수 있다. 다이(1502)는 본 명세서에 개시된 다이들(114) 중 임의의 것일 수 있다. 다이(1502)는 하나 이상의 트랜지스터(예를 들어, 아래에서 논의되는 도 9의 트랜지스터들(1640)의 일부), 트랜지스터들에 전기 신호들을 라우팅하는 지원 회로, 수동 컴포넌트들(예를 들어, 신호 트레이스들, 저항기들, 커패시터들, 또는 인덕터들), 및/또는 임의의 다른 IC 컴포넌트들을 포함할 수 있다. 일부 실시예들에서, 웨이퍼(1500) 또는 다이(1502)는 메모리 디바이스(예를 들어, SRAM(static RAM) 디바이스, MRAM(magnetic RAM) 디바이스, RRAM(resistive RAM) 디바이스, CBRAM(conductive-bridging RAM) 디바이스 등과 같은 RAM 디바이스), 논리 디바이스(예를 들어, AND, OR, NAND 또는 NOR 게이트), 또는 임의의 다른 적절한 회로 요소를 포함할 수 있다. 이 디바이스들 중 다수의 디바이스들은 단일의 다이(1502) 상에 결합될 수 있다. 예를 들어, 다수의 메모리 디바이스들에 의해 형성된 메모리 어레이는 처리 디바이스(예를 들어, 도 11의 처리 디바이스(1802)), 또는 메모리 디바이스들에 정보를 저장하거나 메모리 어레이에 저장된 명령어들을 실행하도록 구성되는 다른 로직과 동일한 다이(1502) 상에 형성될 수 있다. 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 다양한 것들은, 일부 다이들(114)이 다이들(114) 중 다른 다이들을 포함하는 웨이퍼(1500)에 부착되고, 웨이퍼(1500)가 후속적으로 싱귤레이팅되는 다이-대-웨이퍼 어셈블리 기술을 이용하여 제조될 수 있다.
도 9는 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 임의의 것(예를 들어, 다이들(114) 중 임의의 것)에 포함될 수 있는 IC 디바이스(1600)의 측단면도이다. IC 디바이스들(1600) 중 하나 이상은 하나 이상의 다이(1502)(도 8)에 포함될 수 있다. IC 디바이스(1600)는 다이 기판(1602)(예를 들어, 도 8의 웨이퍼(1500)) 상에 형성될 수 있고, 다이(예를 들어, 도 8의 다이(1502))에 포함될 수 있다. 다이 기판(1602)은, 예를 들어, n타입 또는 p타입 재료계들(또는 이들의 조합)을 포함하는 반도체 재료계들로 구성된 반도체 기판일 수 있다. 다이 기판(1602)은, 예를 들어, 벌크 실리콘 또는 실리콘-온-절연체(SOI) 하위구조를 이용하여 형성된 결정 기판을 포함할 수 있다. 일부 실시예들에서, 다이 기판(1602)은, 이에 제한되지는 않지만, 게르마늄, 안티몬화 인듐(indium antimonide), 텔루르화 납(lead telluride), 비화 인듐(indium arsenide), 인화 인듐(indium phosphide), 비화 갈륨(gallium arsenide) 또는 안티몬화 갈륨(gallium antimonide)을 포함하는, 실리콘과 조합될 수 있거나 조합되지 않을 수 있는 대안적인 재료들을 이용하여 형성될 수 있다. II-VI, III-V 또는 IV족으로서 분류되는 추가 재료들이 다이 기판(1602)을 형성하는데 이용될 수 있다. 다이 기판(1602)을 형성할 수 있는 재료의 몇몇 예들이 본 명세서에 설명되지만, IC 디바이스(1600)에 대한 기초로서 기능할 수 있는 임의의 재료가 이용될 수 있다. 다이 기판(1602)은 싱귤레이팅된 다이(예를 들어, 도 8의 다이들(1502)) 또는 웨이퍼(예를 들어, 도 8의 웨이퍼(1500))의 일부일 수 있다.
IC 디바이스(1600)는 다이 기판(1602) 상에 배치된 하나 이상의 디바이스 층(1604)을 포함할 수 있다. 디바이스 층(1604)은 다이 기판(1602) 상에 형성된 하나 이상의 트랜지스터(1640)(예를 들어, 금속 산화물 반도체 전계 효과 트랜지스터(metal oxide semiconductor field-effect transistor)(MOSFET)들)의 피처들을 포함할 수 있다. 디바이스 층(1604)은, 예를 들어, 하나 이상의 소스 및/또는 드레인(S/D) 영역(1620), S/D 영역들(1620) 사이의 트랜지스터들(1640)에서의 전류 흐름을 제어하기 위한 게이트(1622), 및 S/D 영역들(1620)로/로부터 전기 신호들을 라우팅하기 위한 하나 이상의 S/D 컨택트(1624)를 포함할 수 있다. 트랜지스터들(1640)는 명료성을 위해 도시되지 않은, 디바이스 격리 영역들, 게이트 컨택트들 등과 같은 추가적인 피처들을 포함할 수 있다. 트랜지스터들(1640)은 도 9에 도시된 타입 및 구성으로 제한되지 않고, 예를 들어, 평면 트랜지스터들, 비평면 트랜지스터들, 또는 이들의 조합과 같은 매우 다양한 다른 타입들 및 구성들을 포함할 수 있다. 비평면 트랜지스터들은 이중 게이트 트랜지스터들 및 삼중 게이트 트랜지스터들과 같은 FinFET 트랜지스터들, 및 나노리본(nanoribbon) 및 나노와이어(nanowire) 트랜지스터들과 같은 랩 어라운드(wrap-around) 또는 올 어라운드(all-around) 게이트 트랜지스터들을 포함할 수 있다.
각각의 트랜지스터(1640)는, 적어도 2개의 층들, 즉, 게이트 유전체 및 게이트 전극으로 형성된 게이트(1622)를 포함할 수 있다. 게이트 유전체는 하나의 층 또는 층들의 스택을 포함할 수 있다. 하나 이상의 층은 실리콘 산화물, 실리콘 이산화물, 실리콘 탄화물, 및/또는 하이(high)-k 유전체 재료를 포함할 수 있다. 하이-k 유전체 재료는 하프늄(hafnium), 실리콘, 산소, 티타늄(titanium), 탄탈룸(tantalum), 란타늄(lanthanum), 알루미늄, 지르코늄(zirconium), 바륨(barium), 스트론튬(strontium), 이트륨(yttrium), 납, 스칸듐(scandium), 니오븀(niobium), 및 아연과 같은 원소들을 포함할 수 있다. 게이트 유전체에 이용될 수 있는 하이-k 재료들의 예들은, 제한적인 것은 아니지만, 하프늄 산화물, 하프늄 실리콘 산화물, 란타늄 산화물, 란타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈룸 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈룸 산화물, 및 납 아연 니오브산염(lead zinc niobate)을 포함한다. 일부 실시예들에서, 하이-k 재료가 이용될 때 그 품질을 개선하기 위해서 게이트 유전체에 대해 어닐링(annealing) 프로세스가 수행될 수 있다.
게이트 전극은 게이트 유전체 상에 형성될 수 있고, 트랜지스터(1640)가 p타입 금속 산화물 반도체(PMOS) 또는 n타입 금속 산화물 반도체(NMOS) 트랜지스터인지에 따라, 적어도 하나의 p타입 일함수 금속(work function metal) 또는 n타입 일함수 금속을 포함할 수 있다. 일부 구현들에서, 게이트 전극은 둘 이상의 금속 층들의 스택으로 구성될 수 있고, 여기서, 하나 이상의 금속 층은 일함수 금속 층이고, 적어도 하나의 금속 층은 충진(fill) 금속 층이다. 추가의 금속 층들이, 배리어 층과 같이, 다른 목적들을 위해 포함될 수 있다. PMOS 트랜지스터에 대해, 게이트 전극에 이용될 수 있는 금속들은, 제한적인 것은 아니지만, 루테늄(ruthenium), 팔라듐(palladium), 백금(platinum), 코발트(cobalt), 니켈(nickel), 전도성 금속 산화물들(예를 들어, 루테늄 산화물), 및 (예를 들어, 일함수 튜닝을 위한) NMOS 트랜지스터를 참조하여 이하에서 논의되는 금속들 중 임의의 것을 포함한다. NMOS 트랜지스터에 대해, 게이트 전극에 이용될 수 있는 금속들은, 제한적인 것은 아니지만, 하프늄, 지르코늄, 티타늄, 탄탈룸, 알루미늄, 이 금속들의 합금들, 이 금속들의 탄화물들(예를 들어, 하프늄 탄화물, 지르코늄 탄화물, 티타늄 탄화물, 탄탈룸 탄화물, 및 알루미늄 탄화물), 및 (예를 들어, 일함수 튜닝을 위한) PMOS 트랜지스터를 참조하여 위에서 논의된 금속들 중 임의의 것을 포함한다.
일부 실시예들에서, 소스-채널-드레인 방향을 따라 트랜지스터(1640)의 단면으로서 볼 때, 게이트 전극은 다이 기판(1602)의 표면에 실질적으로 평행한 최하부 부분 및 다이 기판(1602)의 최상부 표면에 실질적으로 수직인 2개의 측벽 부분들을 포함하는 U자형 구조체로 구성될 수 있다. 다른 실시예들에서, 게이트 전극을 형성하는 금속 층들 중 적어도 하나는 다이 기판(1602)의 최상부 표면과 실질적으로 평행한 단순히 평면 층일 수 있으며, 다이 기판(1602)의 최상부 표면과 실질적으로 수직인 측벽 부분들을 포함하지 않는다. 다른 실시예들에서, 게이트 전극은 U자형 구조체들과 평면의 U자형이 아닌 구조체들의 조합으로 구성될 수 있다. 예를 들어, 게이트 전극은 하나 이상의 평면의 U자형이 아닌 층 꼭대기에 형성된 하나 이상의 U자형 금속 층으로 구성될 수 있다.
일부 실시예들에서, 게이트 스택을 브래킷(bracket)하기 위해 게이트 스택의 대향하는 측면들 상에 한 쌍의 측벽 스페이서들이 형성될 수 있다. 측벽 스페이서들은 실리콘 질화물, 실리콘 산화물, 실리콘 탄화물, 탄소로 도핑된 실리콘 질화물 및 실리콘 산질화물과 같은 재료들로 형성될 수 있다. 측벽 스페이서들을 형성하기 위한 프로세스들은 본 기술분야에 잘 알려져 있으며, 일반적으로 퇴적 및 에칭 프로세스 단계들을 포함한다. 일부 실시예들에서, 복수의 스페이서 쌍들이 이용될 수 있는데, 예를 들어, 2쌍, 3쌍 또는 4쌍의 측벽 스페이서들이 게이트 스택의 대향하는 측면들 상에 형성될 수 있다.
S/D 영역들(1620)은 각각의 트랜지스터(1640)의 게이트(1622)에 인접한 다이 기판(1602) 내에 형성될 수 있다. S/D 영역들(1620)은, 예를 들어, 주입/확산 프로세스 또는 에칭/퇴적 프로세스를 이용하여 형성될 수 있다. 전자의 프로세스에서, 붕소(boron), 알루미늄, 안티모니(antimony), 인(phosphorous), 또는 비소(arsenic)와 같은 도펀트들이 다이 기판(1602) 내로 이온 주입되어, S/D 영역들(1620)을 형성할 수 있다. 도펀트들을 활성화시키고 도펀트들이 다이 기판(1602) 내로 더 멀리 확산되게 하는 어닐링 프로세스가 이온 주입 프로세스를 뒤따를 수 있다. 후자의 프로세스에서, 다이 기판(1602)은 먼저 S/D 영역들(1620)의 위치들에서 리세스들을 형성하도록 에칭될 수 있다. 다음에, S/D 영역들(1620)을 제조하기 위해 이용되는 재료로 리세스들을 채우도록 에피택셜 퇴적 프로세스가 수행될 수 있다. 일부 구현들에서, S/D 영역들(1620)은 실리콘 게르마늄 또는 실리콘 탄화물과 같은 실리콘 합금을 이용하여 제조될 수 있다. 일부 실시예들에서, 에피택셜 방식으로 퇴적된 실리콘 합금은 붕소, 비소, 또는 인과 같은 도펀트들로 인 시츄(in situ) 도핑될 수 있다. 일부 실시예들에서, S/D 영역들(1620)은 게르마늄 또는 III-V족 재료 또는 합금과 같은 하나 이상의 대안적인 반도체 재료를 이용하여 형성될 수 있다. 추가 실시예들에서, 금속 및/또는 금속 합금들의 하나 이상의 층이 S/D 영역들(1620)을 형성하는데 이용될 수 있다.
전력 및/또는 입력/출력(I/O) 신호들과 같은 전기 신호들은 디바이스 층(1604) 상에 배치된 하나 이상의 인터커넥트 층(도 9에서 인터커넥트 층들(1606 내지 1610)로서 도시됨)을 통해 디바이스 층(1604)의 디바이스들(예를 들어, 트랜지스터들(1640))로 및/또는 디바이스들로부터 라우팅될 수 있다. 예를 들어, 디바이스 층(1604)의 전기 전도성 피처들(예를 들어, 게이트(1622) 및 S/D 컨택트들(1624))은 인터커넥트 층들(1606 내지 1610)의 인터커넥트 구조체들(1628)과 전기적으로 결합될 수 있다. 하나 이상의 인터커넥트 층(1606 내지 1610)은 IC 디바이스(1600)의 금속화 스택("ILD 스택"이라고도 지칭됨)(1619)을 형성할 수 있다.
인터커넥트 구조체들(1628)은 광범위하게 다양한 설계들에 따라 전기 신호들을 라우팅하도록 인터커넥트 층들(1606 내지 1610) 내에 배열될 수 있고; 특히, 배열은 도 9에 도시된 인터커넥트 구조체들(1628)의 특정한 구성으로 제한되지 않는다. 특정한 수의 인터커넥트 층들(1606 내지 1610)이 도 9에 도시되어 있지만, 본 개시의 실시예들은 도시된 것보다 더 많거나 더 적은 인터커넥트 층들을 갖는 IC 디바이스들을 포함한다.
일부 실시예들에서, 인터커넥트 구조체들(1628)은 금속과 같은 전기 전도성 재료로 채워진 라인들(1628a) 및/또는 비아들(1628b)을 포함할 수 있다. 라인들(1628a)은 디바이스 층(1604)이 형성되는 다이 기판(1602)의 표면과 실질적으로 평행한 평면의 방향으로 전기 신호들을 라우팅하도록 배열될 수 있다. 예를 들어, 라인들(1628a)은 도 9의 관점에서 페이지의 내부 및 외부 방향으로 전기 신호들을 라우팅할 수 있다. 비아들(1628b)은 디바이스 층(1604)이 형성되는 다이 기판(1602)의 표면에 실질적으로 수직인 평면의 방향으로 전기 신호들을 라우팅하도록 배열될 수 있다. 일부 실시예들에서, 비아들(1628b)은 상이한 인터커넥트 층들(1606 내지 1610)의 라인들(1628a)을 함께 전기적으로 결합할 수 있다.
인터커넥트 층들(1606 내지 1610)은 도 9에 도시된 바와 같이, 인터커넥트 구조체들(1628) 사이에 배치된 유전체 재료(1626)를 포함할 수 있다. 일부 실시예들에서, 인터커넥트 층들(1606 내지 1610) 중 상이한 것들에서의 인터커넥트 구조체들(1628) 사이에 배치된 유전체 재료(1626)는 상이한 조성들을 가질 수 있고; 다른 실시예들에서, 상이한 인터커넥트 층들(1606 내지 1610) 사이의 유전체 재료(1626)의 조성은 동일할 수 있다.
제1 인터커넥트 층(1606)(금속 1 또는 "M1"이라고 지칭됨)은 디바이스 층(1604) 바로 위에 형성될 수 있다. 일부 실시예들에서, 제1 인터커넥트 층(1606)은 도시된 바와 같이, 라인들(1628a) 및/또는 비아들(1628b)을 포함할 수 있다. 제1 인터커넥트 층(1606)의 라인들(1628a)은 디바이스 층(1604)의 컨택트들(예를 들어, S/D 컨택트들(1624))과 결합될 수 있다.
제2 인터커넥트 층(1608)(금속 2 또는 "M2"라고 지칭됨)은 제1 인터커넥트 층(1606) 바로 위에 형성될 수 있다. 일부 실시예들에서, 제2 인터커넥트 층(1608)은 제2 인터커넥트 층(1608)의 라인들(1628a)을 제1 인터커넥트 층(1606)의 라인들(1628a)과 결합하기 위한 비아들(1628b)을 포함할 수 있다. 명료성을 위해 라인들(1628a) 및 비아들(1628b)은 각각의 인터커넥트 층 내의(예를 들어, 제2 인터커넥트 층(1608) 내의) 라인으로 구조적으로 묘사되지만, 일부 실시예들에서 라인들(1628a) 및 비아들(1628b)은 구조적으로 및/또는 물질적으로 인접할 수 있다(예를 들어, 이중 다마신 프로세스 동안에 동시에 채워질 수 있다).
제3 인터커넥트 층(1610)(금속 3 또는 "M3"이라고 지칭됨)(및 원하는 경우, 추가적인 인터커넥트 층들)은 제2 인터커넥트 층(1608) 또는 제1 인터커넥트 층(1606)과 관련하여 설명된 유사한 기술들 및 구성들에 따라 제2 인터커넥트 층(1608) 상에서 연속하여 형성될 수 있다. 일부 실시예들에서, IC 디바이스(1600)에서의 금속화 스택(1619)에서의 "더 윗쪽인(higher up)"(즉, 디바이스 층(1604)으로부터 더 멀리 떨어져 있는) 인터커넥트 층들은 더 두꺼울 수 있다.
IC 디바이스(1600)는 솔더 레지스트 재료(1634)(예를 들어, 폴리이미드 또는 유사한 재료) 및 인터커넥트 층들(1606 내지 1610) 상에 형성된 하나 이상의 전도성 컨택트(1636)를 포함할 수 있다. 도 9에서, 전도성 컨택트들(1636)은 본드 패드들의 형태를 취하는 것으로서 도시된다. 전도성 컨택트들(1636)은 인터커넥트 구조체들(1628)과 전기적으로 결합되고, 트랜지스터(들)(1640)의 전기 신호들을 다른 외부 디바이스들에 라우팅하도록 구성될 수 있다. 예를 들어, 솔더 본드들(solder bonds)은 IC 디바이스(1600)를 포함하는 칩을 다른 컴포넌트(예를 들어, 회로 보드)와 기계적 및/또는 전기적으로 결합하기 위해 하나 이상의 전도성 컨택트(1636) 상에 형성될 수 있다. IC 디바이스(1600)는 인터커넥트 층들(1606 내지 1610)로부터의 전기 신호들을 라우팅하기 위한 추가적인 또는 대안적인 구조체들을 포함할 수 있고; 예를 들어, 전도성 컨택트들(1636)은 전기 신호들을 외부 컴포넌트들로 라우팅하는 다른 유사한 피처들(예를 들어, 포스트들(posts))을 포함할 수 있다. 전도성 컨택트들(1636)은 적절한 경우, 전도성 컨택트들(122 또는 124)로서 기능할 수 있다.
IC 디바이스(1600)가 (예를 들어, 다이(114-1)와 같은) 양면 다이인 일부 실시예들에서, IC 디바이스(1600)는 디바이스 층(들)(1604)의 대향 측면 상에 다른 금속화 스택(도시되지 않음)을 포함할 수 있다. 이러한 금속화 스택은, 디바이스 층(들)(1604)과 전도성 컨택트들(1636)로부터 IC 디바이스(1600)의 대향 측면 상의 추가적인 전도성 컨택트들(도시되지 않음) 사이의 전도성 경로들(예를 들어, 전도성 라인들 및 비아들을 포함함)을 제공하기 위해, 인터커넥트 층들(1606 내지 1610)을 참조하여 위에서 논의된 바와 같은 다수의 인터커넥트 층들을 포함할 수 있다. 이러한 추가적인 전도성 컨택트들은 적절한 경우, 전도성 컨택트들(122 또는 124)로서 기능할 수 있다.
IC 디바이스(1600)가 (예를 들어, 다이(114-1)와 같은) 양면 다이인 다른 실시예들에서, IC 디바이스(1600)는 다이 기판(1602)을 통해 하나 이상의 TSV를 포함할 수 있고; 이러한 TSV들은 디바이스 층(들)(1604)과 접촉할 수 있고, 디바이스 층(들)(1604)과 전도성 컨택트들(1636)로부터 IC 디바이스(1600)의 대향 측면 상의 추가적인 전도성 컨택트들(도시되지 않음) 사이의 전도성 경로들을 제공할 수 있다. 이러한 추가적인 전도성 컨택트들은 적절한 경우, 전도성 컨택트들(122 또는 124)로서 기능할 수 있다.
도 10은 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 임의의 것을 포함할 수 있는 IC 디바이스 어셈블리(1700)의 측단면도이다. 일부 실시예들에서, IC 디바이스 어셈블리(1700)는 마이크로전자 어셈블리(100)일 수 있다. IC 디바이스 어셈블리(1700)는 (예를 들어, 마더보드일 수 있는) 회로 보드(1702) 상에 배치된 다수의 컴포넌트들을 포함한다. IC 디바이스 어셈블리(1700)는 회로 보드(1702)의 제1 면(1740) 및 회로 보드(1702)의 대향하는 제2 면(1742) 상에 배치된 컴포넌트들을 포함할 수 있으며; 일반적으로, 컴포넌트들은 한쪽 또는 양쪽 면(1740 및 1742) 상에 배치될 수 있다. IC 디바이스 어셈블리(1700)를 참조하여 아래에 논의되는 IC 패키지들 중 임의의 것은 본 명세서에 개시된 마이크로전자 어셈블리들(100)의 실시예들 중 임의의 적절한 것들의 형태를 취할 수 있다.
일부 실시예들에서, 회로 보드(1702)는 유전체 재료의 층들에 의해 서로로부터 분리되고 전기 전도성 비아들에 의해 상호접속되는 다수의 금속 층들을 포함하는 PCB일 수 있다. 금속 층들 중 임의의 하나 이상은 회로 보드(1702)에 결합된 컴포넌트들 사이에서 (선택적으로 다른 금속 층들과 함께) 전기 신호들을 라우팅하기 위해 원하는 회로 패턴으로 형성될 수 있다. 다른 실시예들에서, 회로 보드(1702)는 비-PCB 기판일 수 있다. 일부 실시예들에서, 회로 보드(1702)는, 예를 들어, 회로 보드일 수 있다.
도 10에 도시된 IC 디바이스 어셈블리(1700)는 결합 컴포넌트들(1716)에 의해 회로 보드(1702)의 제1 면(1740)에 결합된 패키지-온-인터포저(package-on-interposer) 구조체(1736)를 포함한다. 결합 컴포넌트들(1716)은 패키지-온-인터포저 구조체(1736)를 회로 보드(1702)에 전기적 및 기계적으로 결합할 수 있고, (도 10에 도시된 바와 같은) 솔더 볼들, 소켓의 메일(male) 및 피메일(female) 부분들, 접착제, 언더필 재료, 및/또는 임의의 다른 적절한 전기적 및/또는 기계적 결합 구조체를 포함할 수 있다.
패키지-온-인터포저 구조체(1736)는 결합 컴포넌트들(1718)에 의해 인터포저(1704)에 결합된 IC 패키지(1720)를 포함할 수 있다. 결합 컴포넌트들(1718)은, 결합 컴포넌트들(1716)을 참조하여 위에서 논의된 형태들과 같은 응용을 위한 임의의 적절한 형태를 취할 수 있다. 단일의 IC 패키지(1720)가 도 10에 도시되어 있지만, 다수의 IC 패키지들이 인터포저(1704)에 결합될 수 있으며; 실제로, 추가적인 인터포저들이 인터포저(1704)에 결합될 수 있다. 인터포저(1704)는 회로 보드(1702)와 IC 패키지(1720)를 브리지하기 위해 이용되는 개재 기판(intervening substrate)을 제공할 수 있다. IC 패키지(1720)는, 예를 들어, 다이(도 8의 다이(1502)), IC 디바이스(예를 들어, 도 9의 IC 디바이스(1600)), 또는 임의의 다른 적절한 컴포넌트일 수 있거나, 또는 이들을 포함할 수 있다. 일반적으로, 인터포저(1704)는 접속을 보다 넓은 피치로 확산(spread)시키거나, 접속을 상이한 접속으로 재라우팅(reroute)할 수 있다. 예를 들어, 인터포저(1704)는 IC 패키지(1720)(예를 들어, 다이)를, 회로 보드(1702)에 결합하기 위한 결합 컴포넌트들(1716)의 볼 그리드 어레이(BGA) 전도성 컨택트들의 세트에 결합할 수 있다. 도 10에 도시된 실시예에서, IC 패키지(1720) 및 회로 보드(1702)는 인터포저(1704)의 대향하는 측면들에 부착되고; 다른 실시예들에서, IC 패키지(1720) 및 회로 보드(1702)는 인터포저(1704)의 동일한 측면에 부착될 수 있다. 일부 실시예들에서, 3개 이상의 컴포넌트들이 인터포저(1704)를 통해 상호접속될 수 있다.
일부 실시예들에서, 인터포저(1704)는 유전체 재료의 층들에 의해 서로로부터 분리되고 전기 전도성 비아들에 의해 상호접속되는 다수의 금속 층들을 포함하는 PCB로서 형성될 수 있다. 일부 실시예들에서, 인터포저(1704)는 에폭시 수지, 섬유유리 강화 에폭시 수지(fiberglass-reinforced epoxy resin), 무기 필러들을 갖는 에폭시 수지, 세라믹 재료, 또는 폴리이미드와 같은 중합체 재료로 형성될 수 있다. 일부 실시예들에서, 인터포저(1704)는 실리콘, 게르마늄, 및 다른 III-V족 및 IV족 재료들과 같은, 반도체 기판에 이용하기 위한 전술한 동일한 재료들을 포함할 수 있는 대안적인 강성(rigid) 또는 유연성(flexible) 재료들로 형성될 수 있다. 인터포저(1704)는 금속 인터커넥트들(1708), 및 제한적인 것은 아니지만 TSV들(1706)을 포함하는 비아들(1710)을 포함할 수 있다. 인터포저(1704)는 수동 및 능동 디바이스들 둘다를 포함하는 내장된 디바이스들(1714)을 추가로 포함할 수 있다. 그러한 디바이스들은, 제한적인 것은 아니지만, 커패시터들, 디커플링 커패시터들(decoupling capacitors), 저항기들, 인덕터들, 퓨즈들, 다이오드들, 트랜스포머들, 센서들, 및 정전 방전(electrostatic discharge)(ESD) 디바이스들, 및 메모리 디바이스들을 포함할 수 있다. 무선 주파수(radio frequency) 디바이스들, 전력 증폭기들, 전력 관리 디바이스들, 안테나들, 어레이들, 센서들, 및 MEMS(microelectromechanical systems) 디바이스들과 같은 보다 복잡한 디바이스들이 또한 인터포저(1704) 상에 형성될 수 있다. 패키지-온-인터포저 구조체(1736)는 본 기술분야에 알려진 패키지-온-인터포저 구조체들 중 임의의 것의 형태를 취할 수 있다.
IC 디바이스 어셈블리(1700)는 결합 컴포넌트들(1722)에 의해 회로 보드(1702)의 제1 면(1740)에 결합된 IC 패키지(1724)를 포함할 수 있다. 결합 컴포넌트들(1722)은 결합 컴포넌트들(1716)에 관련하여 위에서 논의된 실시예들 중 임의의 것의 형태를 취할 수 있고, IC 패키지(1724)는 IC 패키지(1720)에 관련하여 위에서 논의된 실시예들 중 임의의 것의 형태를 취할 수 있다.
도 10에 도시된 IC 디바이스 어셈블리(1700)는 결합 컴포넌트들(1728)에 의해 회로 보드(1702)의 제2 면(1742)에 결합된 패키지-온-패키지 구조체(1734)를 포함한다. 패키지-온-패키지 구조체(1734)는 결합 컴포넌트들(1730)에 의해 함께 결합된 IC 패키지(1726) 및 IC 패키지(1732)를 포함하여, IC 패키지(1726)가 회로 보드(1702)와 IC 패키지(1732) 사이에 배치되도록 할 수 있다. 결합 컴포넌트들(1728 및 1730)은 위에서 논의된 결합 컴포넌트들(1716)의 실시예들 중 임의의 것의 형태를 취할 수 있고, IC 패키지들(1726 및 1732)은 위에서 논의된 IC 패키지(1720)의 실시예들 중 임의의 것의 형태를 취할 수 있다. 패키지-온-패키지 구조체(1734)는 본 기술분야에 알려진 패키지-온-패키지 구조체들 중 임의의 것에 따라 구성될 수 있다.
도 11은 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 하나 이상을 포함할 수 있는 예시적인 전기 디바이스(1800)의 블록도이다. 예를 들어, 전기 디바이스(1800)의 컴포넌트들 중 임의의 적절한 것들은 본 명세서에 개시된 IC 디바이스 어셈블리들(1700), IC 디바이스들(1600) 또는 다이들(1502) 중 하나 이상을 포함할 수 있고, 본 명세서에 개시된 마이크로전자 어셈블리들(100) 중 임의의 것에 배열될 수 있다. 도 11에서는 다수의 컴포넌트들이 전기 디바이스(1800)에 포함된 것으로서 도시되어 있지만, 이 컴포넌트들 중 임의의 하나 이상은, 응용을 위해 적절한 경우, 생략되거나 복제될 수 있다. 일부 실시예들에서, 전기 디바이스(1800)에 포함된 컴포넌트들의 일부 또는 전부는 하나 이상의 마더보드에 부착될 수 있다. 일부 실시예들에서, 이러한 컴포넌트들의 일부 또는 전부는 단일 SoC(system-on-a-chip) 다이 상에 제조된다.
추가적으로, 다양한 실시예들에서, 전기 디바이스(1800)는 도 11에 도시된 컴포넌트들 중 하나 이상을 포함하지 않을 수 있지만, 전기 디바이스(1800)는 하나 이상의 컴포넌트에 결합하기 위한 인터페이스 회로를 포함할 수 있다. 예를 들어, 전기 디바이스(1800)는 디스플레이 디바이스(1806)를 포함하지 않을 수 있지만, 디스플레이 디바이스(1806)가 결합될 수 있는 디스플레이 디바이스 인터페이스 회로(예를 들어, 커넥터 및 드라이버 회로)를 포함할 수 있다. 다른 예들의 세트에서, 전기 디바이스(1800)는 오디오 입력 디바이스(1824) 또는 오디오 출력 디바이스(1808)를 포함하지 않을 수 있지만, 오디오 입력 디바이스(1824) 또는 오디오 출력 디바이스(1808)가 결합될 수 있는 오디오 입력 또는 출력 디바이스 인터페이스 회로(예를 들어, 커넥터들 및 지원 회로)를 포함할 수 있다.
전기 디바이스(1800)는 처리 디바이스(1802)(예를 들어, 하나 이상의 처리 디바이스)를 포함할 수 있다. 본 명세서에서 이용된 바와 같이, "처리 디바이스" 또는 "프로세서"라는 용어는, 레지스터들 및/또는 메모리로부터의 전자 데이터를 처리하고, 해당 전자적 데이터를 레지스터들 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 디바이스 또는 디바이스의 일부를 지칭할 수 있다. 처리 디바이스(1802)는 하나 이상의 디지털 신호 프로세서(DSP), ASIC(application-specific IC), 중앙 처리 유닛(CPU), 그래픽 처리 유닛(GPU), 암호 프로세서(cryptoprocessor)(하드웨어 내에서 암호 알고리즘들을 실행하는 전문화된 프로세서), 서버 프로세서, 또는 임의의 다른 적절한 처리 디바이스를 포함할 수 있다. 전기 디바이스(1800)는 메모리(1804)를 포함할 수 있고, 메모리(1804) 자체는 휘발성 메모리(예를 들어, DRAM(dynamic random access memory)), 비휘발성 메모리(예를 들어, ROM(read-only memory)), 플래시 메모리, 고체 상태 메모리, 및/또는 하드 드라이브와 같은 하나 이상의 메모리 디바이스를 포함할 수 있다. 일부 실시예들에서, 메모리(1804)는 다이를 처리 디바이스(1802)와 공유하는 메모리를 포함할 수 있다. 이러한 메모리는 캐시 메모리로서 이용될 수 있으며, eDRAM(embedded dynamic random access memory) 또는 STT-MRAM(spin transfer torque magnetic random access memory)를 포함할 수 있다.
일부 실시예들에서, 전기 디바이스(1800)는 통신 칩(1812)(예를 들어, 하나 이상의 통신 칩)을 포함할 수 있다. 예를 들어, 통신 칩(1812)은 전기 디바이스(1800)로의 및 그로부터의 데이터의 전송을 위한 무선 통신들을 관리하도록 구성될 수 있다. 용어 "무선" 및 그의 파생어들은 비고체 매체(nonsolid medium)를 통해 변조된 전자기 방사를 이용하여 데이터를 통신할 수 있는 회로들, 디바이스들, 시스템들, 방법들, 기술들, 통신 채널들 등을 기술하는데 이용될 수 있다. 그 용어는 연관된 디바이스들이 어떠한 유선들도 포함하지 않는다는 것을 함축하지 않지만, 일부 실시예들에서는 그렇지 않을 수 있다.
통신 칩(1812)은, 제한적인 것은 아니지만, Wi-Fi를 포함하는 IEEE(Institute for Electrical and Electronic Engineers) 표준들(IEEE 802.11 패밀리), IEEE 802.16 표준들(예를 들어, IEEE 802.16-2005 수정판), LTE(Long-Term Evolution) 프로젝트와 임의의 수정판들, 업데이트들 및/또는 개정판들(예를 들어, 어드밴스트 LTE 프로젝트, UMB(ultra mobile broadband) 프로젝트("3GPP2"라고도 지칭됨) 등)을 포함하는 다수의 무선 표준들 또는 프로토콜들 중 임의의 것을 구현할 수 있다. IEEE 802.16 호환 BWA(Broadband Wireless Access) 네트워크들은 일반적으로, IEEE 802.16 표준들에 대한 부합성 및 상호운용성 테스트들을 통과한 제품들을 위한 인증 마크인, Worldwide Interoperability for Microwave Access를 나타내는 약어인 WiMAX 네트워크들이라고 지칭된다. 통신 칩(1812)은 GSM(Global System for Mobile Communication), GPRS(General Packet Radio Service), UMTS(Universal Mobile Telecommunications System), HSPA(High Speed Packet Access), E-HSPA(Evolved HSPA), 또는 LTE 네트워크에 따라 동작할 수 있다. 통신 칩(1812)은 EDGE(Enhanced Data for GSM Evolution), GERAN(GSM EDGE Radio Access Network), UTRAN(Universal Terrestrial Radio Access Network), 또는 E-UTRAN(Evolved UTRAN)에 따라 동작할 수 있다. 통신 칩(1812)은 CDMA(Code Division Multiple Access), TDMA(Time Division Multiple Access), DECT(Digital Enhanced Cordless Telecommunications), EV-DO(Evolution-Data Optimized), 및 이들의 파생물들 뿐만 아니라, 3G, 4G, 5G, 및 그 이상으로 표기되는 임의의 다른 무선 프로토콜들에 따라 동작할 수 있다. 통신 칩(1812)은 다른 실시예들에서 다른 무선 프로토콜들에 따라 동작할 수 있다. 전기 디바이스(1800)는 무선 통신들을 용이하게 하기 위해 및/또는 (AM 또는 FM 라디오 송신들과 같은) 다른 무선 통신들을 수신하기 위해 안테나(1822)를 포함할 수 있다.
일부 실시예들에서, 통신 칩(1812)은, 전기, 광학, 또는 임의의 다른 적당한 통신 프로토콜들(예를 들어, 이더넷)과 같은 유선 통신들을 관리할 수 있다. 위에서 살펴본 바와 같이, 통신 칩(1812)은 다수의 통신 칩들을 포함할 수 있다. 예를 들어, 제1 통신 칩(1812)은 Wi-Fi 또는 블루투스와 같은 단거리 무선 통신들(shorter-range wireless communications)에 전용될 수 있고, 제2 통신 칩(1812)은 GPS(global positioning system), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO, 또는 다른 것들과 같은 장거리 무선 통신들(longer-range wireless communications)에 전용될 수 있다. 일부 실시예들에서, 제1 통신 칩(1812)은 무선 통신들에 전용될 수 있고, 제2 통신 칩(1812)은 유선 통신들에 전용될 수 있다.
전기 디바이스(1800)는 배터리/전력 회로(1814)를 포함할 수 있다. 배터리/전력 회로(1814)는 하나 이상의 에너지 저장 디바이스(예를 들어, 배터리들 또는 커패시터들) 및/또는 전기 디바이스(1800)의 컴포넌트들을 전기 디바이스(1800)와 분리된 에너지 소스(예를 들어, AC 라인 전력)에 결합하기 위한 회로를 포함할 수 있다.
전기 디바이스(1800)는 디스플레이 디바이스(1806)(또는 위에서 논의된 바와 같은, 대응하는 인터페이스 회로)를 포함할 수 있다. 디스플레이 디바이스(1806)는, 헤드 업 디스플레이, 컴퓨터 모니터, 프로젝터, 터치스크린 디스플레이, 액정 디스플레이(LCD), 발광 다이오드 디스플레이, 또는 평판 디스플레이와 같은, 임의의 시각적 표시기들(visual indicators)을 포함할 수 있다.
전기 디바이스(1800)는 오디오 출력 디바이스(1808)(또는 위에서 논의된 바와 같은, 대응하는 인터페이스 회로)를 포함할 수 있다. 오디오 출력 디바이스(1808)는, 스피커들, 헤드셋들, 또는 이어버드들과 같은, 가청 표시기(audible indicator)를 생성하는 임의의 디바이스를 포함할 수 있다.
전기 디바이스(1800)는 오디오 입력 디바이스(1824)(또는 위에서 논의된 바와 같은, 대응하는 인터페이스 회로)를 포함할 수 있다. 오디오 입력 디바이스(1824)는, 마이크로폰들, 마이크로폰 어레이들, 또는 디지털 기기들(예를 들어, MIDI(musical instrument digital interface) 출력을 갖는 기기들)과 같은, 사운드를 나타내는 신호를 생성하는 임의의 디바이스를 포함할 수 있다.
전기 디바이스(1800)는 GPS 디바이스(1818)(또는 위에서 논의된 바와 같은, 대응하는 인터페이스 회로)를 포함할 수 있다. GPS 디바이스(1818)는 본 기술분야에 알려진 바와 같이, 위성 기반 시스템과 통신할 수 있고, 전기 디바이스(1800)의 위치를 수신할 수 있다.
전기 디바이스(1800)는 다른 출력 디바이스(1810)(또는 위에서 논의된 바와 같은, 대응하는 인터페이스 회로)를 포함할 수 있다. 다른 출력 디바이스(1810)의 예들은, 오디오 코덱, 비디오 코덱, 프린터, 다른 디바이스들에게 정보를 제공하기 위한 유선 또는 무선 송신기, 또는 추가적인 저장 디바이스를 포함할 수 있다.
전기 디바이스(1800)는 다른 입력 디바이스(1820)(또는 위에서 논의된 바와 같은, 대응하는 인터페이스 회로)를 포함할 수 있다. 다른 입력 디바이스(1820)의 예들은, 가속도계, 자이로스코프, 나침반, 이미지 캡처 디바이스, 키보드, 마우스와 같은 커서 제어 디바이스, 스타일러스, 터치패드, 바코드 판독기, QR(Quick Response) 코드 판독기, 임의의 센서, 또는 RFID(radio frequency identification) 판독기를 포함할 수 있다.
전기 디바이스(1800)는 컴퓨팅 디바이스 또는 핸드헬드, 휴대용 또는 모바일 컴퓨팅 디바이스(예를 들어, 셀 폰, 스마트 폰, 모바일 인터넷 디바이스, 음악 플레이어, 태블릿 컴퓨터, 랩톱 컴퓨터, 넷북 컴퓨터, 울트라북 컴퓨터, PDA(personal digital assistant), 울트라 모바일 개인용 컴퓨터 등), 데스크톱 전기 디바이스, 서버, 또는 다른 네트워크화된 컴퓨팅 컴포넌트, 프린터, 스캐너, 모니터, 셋톱 박스, 엔터테인먼트 제어 유닛, 차량 제어 유닛, 디지털 카메라, 디지털 비디오 레코더, 또는 웨어러블 전기 디바이스와 같은, 임의의 원하는 폼 팩터를 가질 수 있다. 일부 실시예들에서, 전기 디바이스(1800)는 데이터를 처리하는 임의의 다른 전자 디바이스일 수 있다.
이하의 단락들은 본 명세서에 개시된 실시예들의 다양한 예들을 제공한다.
예 1은 마이크로전자 어셈블리로서, 제1 표면 및 대향하는 제2 표면을 갖는 패키지 기판; 제1 표면 및 대향하는 제2 표면을 갖는 제1 다이―제1 다이는 제1 유전체 층에 내장되고, 제1 다이의 제1 표면은 제1 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합됨―; 제1 표면 및 대향하는 제2 표면을 갖는 제2 다이―제2 다이는 제2 유전체 층에 내장되고, 제2 다이의 제1 표면은 제2 인터커넥트들에 의해 제1 다이의 제2 표면에 결합됨―; 및 제1 표면 및 대향하는 제2 표면을 갖는 제3 다이―제3 다이는 제3 유전체 층에 내장되고, 제3 다이의 제1 표면은 제3 인터커넥트들에 의해 제2 다이의 제2 표면에 결합됨―를 포함하는, 마이크로전자 어셈블리이다.
예 2는 예 1의 청구 대상을 포함할 수 있고, 제2 다이의 제1 표면은 제4 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합되는 것을 추가로 명시할 수 있다.
예 3은 예 2의 청구 대상을 포함할 수 있고, 제4 인터커넥트들은 전도성 필러를 포함하는 것을 추가로 명시할 수 있다.
예 4는 예 3의 청구 대상을 포함할 수 있고, 개개의 전도성 필러는 50 마이크로미터와 400 마이크로미터 사이의 직경을 갖는 것을 추가로 명시할 수 있다.
예 5는 예 1의 청구 대상을 포함할 수 있고, 제3 다이의 제1 표면은 제5 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합되는 것을 추가로 명시할 수 있다.
예 6은 예 5의 청구 대상을 포함할 수 있고, 제5 인터커넥트들은 전도성 필러를 포함하는 것을 추가로 명시할 수 있다.
예 7은 예 6의 청구 대상을 포함할 수 있고, 개개의 전도성 필러는 50 마이크로미터와 400 마이크로미터 사이의 직경을 갖는 것을 추가로 명시할 수 있다.
예 8은 예 1의 청구 대상을 포함할 수 있고, 제3 다이의 제1 표면은 제6 인터커넥트들에 의해 제1 다이의 제2 표면에 결합되는 것을 추가로 명시할 수 있다.
예 9는 예 8의 청구 대상을 포함할 수 있고, 제6 인터커넥트들은 전도성 필러를 포함하는 것을 추가로 명시할 수 있다.
예 10은 예 9의 청구 대상을 포함할 수 있고, 개개의 전도성 필러는 10 마이크로미터와 300 마이크로미터 사이의 직경을 갖는 것을 추가로 명시할 수 있다.
예 11은 예 1의 청구 대상을 포함할 수 있고, 제1 표면 및 대향하는 제2 표면을 갖는 제4 다이―제4 다이는 제1 유전체 층에 내장되고, 제4 다이의 제1 표면은 제7 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합되고, 제4 다이의 제2 표면은 제8 인터커넥트들에 의해 제2 다이의 제1 표면에 결합됨―를 추가로 포함할 수 있다.
예 12는 예 11의 청구 대상을 포함할 수 있고, 제2 인터커넥트들의 피치는 제8 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 13은 예 1의 청구 대상을 포함할 수 있고, 제1 표면 및 대향하는 제2 표면을 갖는 제5 다이―제5 다이는 제3 유전체 층에 내장되고, 제5 다이의 제1 표면은 제9 인터커넥트들에 의해 제2 다이의 제2 표면에 결합됨―를 추가로 포함할 수 있다.
예 14는 예 13의 청구 대상을 포함할 수 있고, 제3 인터커넥트들의 피치는 제9 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 15는 예 13의 청구 대상을 포함할 수 있고, 제3 다이의 두께는 제5 다이의 두께와 상이한 것을 추가로 명시할 수 있다.
예 16은 예 1의 청구 대상을 포함할 수 있고, 제1 표면 및 대향하는 제2 표면을 갖는 제6 다이―제6 다이는 제4 유전체 층에 내장되고, 제6 다이의 제1 표면은 제10 인터커넥트들에 의해 제3 다이의 제2 표면에 결합됨―를 추가로 포함할 수 있다.
예 17은 예 1의 청구 대상을 포함할 수 있고, 제1 유전체 층과 제2 유전체 층 사이의, 또는 제2 유전체 층과 제3 유전체 층 사이의 재분배 층을 추가로 포함할 수 있다.
예 18은 예 1의 청구 대상을 포함할 수 있고, 제1 인터커넥트들의 피치는 제2 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 19는 예 1의 청구 대상을 포함할 수 있고, 제2 인터커넥트들의 피치는 제3 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 20은 예 1의 청구 대상을 포함할 수 있고, 제1 인터커넥트들은 200 마이크로미터와 800 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 21은 예 1의 청구 대상을 포함할 수 있고, 제2 인터커넥트들은 5 마이크로미터와 100 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 22는 예 1의 청구 대상을 포함할 수 있고, 제3 인터커넥트들은 5 마이크로미터와 100 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 23은 예 1의 청구 대상을 포함할 수 있고, 제2 다이는 제1 다이와 0.5 밀리미터와 5 밀리미터 사이의 거리만큼 중첩하는 것을 추가로 명시할 수 있다.
예 24는 예 1의 청구 대상을 포함할 수 있고, 제3 다이는 제2 다이와 0.5 밀리미터와 5 밀리미터 사이의 거리만큼 중첩하는 것을 추가로 명시할 수 있다.
예 25는 예 1의 청구 대상을 포함할 수 있고, 제1 인터커넥트들, 제2 인터커넥트들, 또는 제3 인터커넥트들은 솔더를 포함하는 것을 추가로 명시할 수 있다.
예 26은 예 1의 청구 대상을 포함할 수 있고, 제1 인터커넥트들, 제2 인터커넥트들, 또는 제3 인터커넥트들은 이방성 전도성 재료를 포함하는 것을 추가로 명시할 수 있다.
예 27은 예 1의 청구 대상을 포함할 수 있고, 제1 인터커넥트들, 제2 인터커넥트들, 또는 제3 인터커넥트들은 도금된 인터커넥트들을 포함하는 것을 추가로 명시할 수 있다.
예 28은 예 1의 청구 대상을 포함할 수 있고, 제1 인터커넥트들, 제2 인터커넥트들, 또는 제3 인터커넥트들은 언더필 재료를 포함하는 것을 추가로 명시할 수 있다.
예 29는 예 1의 청구 대상을 포함할 수 있고, 제1 다이는 양면 다이인 것을 추가로 명시할 수 있다.
예 30은 예 1의 청구 대상을 포함할 수 있고, 제2 다이는 양면 다이인 것을 추가로 명시할 수 있다.
예 31은 예 1의 청구 대상을 포함할 수 있고, 제3 다이는 양면 다이인 것을 추가로 명시할 수 있다.
예 32는 예 1의 청구 대상을 포함할 수 있고, 제3 다이는 단면 다이인 것을 추가로 명시할 수 있다.
예 33은 예 1의 청구 대상을 포함할 수 있고, 제1 다이 또는 제3 다이는 중앙 처리 유닛인 것을 추가로 명시할 수 있다.
예 34는 예 1의 청구 대상을 포함할 수 있고, 제2 다이는 메모리 디바이스를 포함하는 것을 추가로 명시할 수 있다.
예 35는 예 1의 청구 대상을 포함할 수 있고, 제2 다이는 고대역폭 메모리 디바이스인 것을 추가로 명시할 수 있다.
예 36은 예 1의 청구 대상을 포함할 수 있고, 패키지 기판은 인쇄 회로 보드인 것을 추가로 명시할 수 있다.
예 37은 예 1의 청구 대상을 포함할 수 있고, 마이크로전자 어셈블리는 서버 디바이스에 포함되는 것을 추가로 명시할 수 있다.
예 38은 예 1의 청구 대상을 포함할 수 있고, 마이크로전자 어셈블리는 휴대용 컴퓨팅 디바이스에 포함되는 것을 추가로 명시할 수 있다.
예 39는 예 1의 청구 대상을 포함할 수 있고, 마이크로전자 어셈블리는 웨어러블 컴퓨팅 디바이스에 포함되는 것을 추가로 명시할 수 있다.
예 40은 컴퓨팅 디바이스로서, 마이크로전자 어셈블리를 포함하고, 마이크로전자 어셈블리는, 제1 표면 및 대향하는 제2 표면을 갖는 패키지 기판; 제1 표면 및 대향하는 제2 표면을 갖는 제1 다이―제1 다이는 제1 유전체 층에 내장되고, 제1 다이의 제1 표면은 제1 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합됨―; 제1 표면 및 대향하는 제2 표면을 갖는 제2 다이―제2 다이는 제2 유전체 층에 내장되고, 제2 다이의 제1 표면은 제2 인터커넥트들에 의해 제1 다이의 제2 표면에 결합됨―; 및 제1 표면 및 대향하는 제2 표면을 갖는 제3 다이―제3 다이는 제3 유전체 층에 내장되고, 제3 다이의 제1 표면은 제3 인터커넥트들에 의해 제2 다이의 제2 표면에 결합됨―를 포함한다.
예 41은 예 40의 청구 대상을 포함할 수 있고, 제2 다이의 제1 표면은 제4 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합되는 것을 추가로 명시할 수 있다.
예 42는 예 41의 청구 대상을 포함할 수 있고, 제4 인터커넥트들은 전도성 필러를 포함하는 것을 추가로 명시할 수 있다.
예 43은 예 42의 청구 대상을 포함할 수 있고, 개개의 전도성 필러는 50 마이크로미터와 400 마이크로미터 사이의 직경을 갖는 것을 추가로 명시할 수 있다.
예 44는 예 40의 청구 대상을 포함할 수 있고, 제3 다이의 제1 표면은 제5 인터커넥트들에 의해 패키지 기판의 제2 표면에 결합되는 것을 추가로 명시할 수 있다.
예 45는 예 44의 청구 대상을 포함할 수 있고, 제5 인터커넥트들은 전도성 필러를 포함하는 것을 추가로 명시할 수 있다.
예 46은 예 45의 청구 대상을 포함할 수 있고, 개개의 전도성 필러는 50 마이크로미터와 400 마이크로미터 사이의 직경을 갖는 것을 추가로 명시할 수 있다.
예 47은 예 40의 청구 대상을 포함할 수 있고, 제3 다이의 제1 표면은 제6 인터커넥트들에 의해 제1 다이의 제2 표면에 결합되는 것을 추가로 명시할 수 있다.
예 48은 예 47의 청구 대상을 포함할 수 있고, 제6 인터커넥트들은 전도성 필러를 포함하는 것을 추가로 명시할 수 있다.
예 49는 예 48의 청구 대상을 포함할 수 있고, 개개의 전도성 필러는 10 마이크로미터와 300 마이크로미터 사이의 직경을 갖는 것을 추가로 명시할 수 있다.
예 50은 예 40의 청구 대상을 포함할 수 있고, 제1 유전체 층과 제2 유전체 층 사이의, 또는 제2 유전체 층과 제3 유전체 층 사이의 재분배 층을 추가로 포함할 수 있다.
예 51은 예 40의 청구 대상을 포함할 수 있고, 제1 인터커넥트들의 피치는 제2 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 52는 예 40의 청구 대상을 포함할 수 있고, 제2 인터커넥트들의 피치는 제3 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 53은 예 40의 청구 대상을 포함할 수 있고, 제1 인터커넥트들은 200 마이크로미터와 800 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 54는 예 40의 청구 대상을 포함할 수 있고, 제2 인터커넥트들은 5 마이크로미터와 100 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 55는 예 40의 청구 대상을 포함할 수 있고, 제3 인터커넥트들은 5 마이크로미터와 100 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 56은 마이크로전자 어셈블리로서, 제1 표면 및 대향하는 제2 표면을 갖는 제1 다이―제1 다이는 제1 유전체 층에 내장됨―; 제1 표면 및 대향하는 제2 표면을 갖는 제2 다이―제2 다이는 제2 유전체 층에 내장되고, 제2 다이의 제1 표면은 제1 인터커넥트들에 의해 제1 다이의 제2 표면에 결합됨―; 및 제1 표면 및 대향하는 제2 표면을 갖는 제3 다이―제3 다이는 제3 유전체 층에 내장되고, 제3 다이의 제1 표면은 제2 인터커넥트들에 의해 제1 다이의 제2 표면에 결합되고, 제2 인터커넥트들은 전도성 필러를 포함함―를 포함하는, 마이크로전자 어셈블리이다.
예 57은 예 56의 청구 대상을 포함할 수 있고, 개개의 전도성 필러는 10 마이크로미터와 300 마이크로미터 사이의 직경을 갖는 것을 추가로 명시할 수 있다.
예 58은 예 56의 청구 대상을 포함할 수 있고, 제1 인터커넥트들의 피치는 제2 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 59는 예 56의 청구 대상을 포함할 수 있고, 제1 인터커넥트들은 5 마이크로미터와 100 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 60은 예 56의 청구 대상을 포함할 수 있고, 제2 인터커넥트들은 200 마이크로미터와 800 마이크로미터 사이의 피치를 갖는 것을 추가로 명시할 수 있다.
예 61은 예 56의 청구 대상을 포함할 수 있고, 제1 유전체 층과 제2 유전체 층 사이의, 또는 제2 유전체 층과 제3 유전체 층 사이의 재분배 층을 추가로 포함할 수 있다.
예 62는 예 56의 청구 대상을 포함할 수 있고, 표면을 갖는 제4 다이―제4 다이는 제4 유전체 층에 내장되고, 제4 다이의 표면은 제3 인터커넥트들에 의해 제2 다이의 제2 표면 또는 제1 다이의 제2 표면에 결합되고, 제3 인터커넥트들은 전도성 필러를 포함함―를 추가로 포함할 수 있다.
예 63은 마이크로전자 어셈블리를 제조하는 방법으로서, 제1 다이와 제2 다이 사이에 제1 인터커넥트들을 형성하는 단계―제1 다이는 제1 전도성 컨택트들을 갖는 제1 표면 및 제2 전도성 컨택트들을 갖는 대향하는 제2 표면을 갖고, 제2 다이는 제1 전도성 컨택트들을 갖는 제1 표면 및 제2 전도성 컨택트들을 갖는 대향하는 제2 표면을 갖고, 제1 인터커넥트들은 제1 다이의 제2 전도성 컨택트들을 제2 다이의 제1 전도성 컨택트들에 결합함―; 제2 다이와 제3 다이 사이에 제2 인터커넥트들을 형성하는 단계―제3 다이는 전도성 컨택트들을 갖는 제1 표면 및 대향하는 제2 표면을 갖고, 제2 인터커넥트들은 제2 다이의 제2 전도성 컨택트들을 제3 다이의 전도성 컨택트들에 결합함―; 및 제1 다이와 제3 다이 사이에 제3 인터커넥트들을 형성하는 단계―제3 인터커넥트들은 제3 다이의 전도성 컨택트들을 제1 다이의 제2 전도성 컨택트들에 결합함―를 포함하는, 마이크로전자 어셈블리를 제조하는 방법이다.
예 64는 예 63의 청구 대상을 포함할 수 있고, 제3 인터커넥트들은 전도성 필러를 포함하는 것을 추가로 명시할 수 있다.
예 65는 예 64의 청구 대상을 포함할 수 있고, 전도성 필러는, 포토레지스트 재료를 퇴적 및 패터닝하여 하나 이상의 개구를 형성하는 것, 하나 이상의 개구에 전도성 재료를 퇴적하는 것, 및 포토레지스트 재료를 제거하는 것에 의해 형성되는 것을 추가로 명시할 수 있다.
예 66은 예 63의 청구 대상을 포함할 수 있고, 제1 인터커넥트들 또는 제2 인터커넥트들은 솔더를 포함하지 않는 것을 추가로 명시할 수 있다.
예 67은 예 63의 청구 대상을 포함할 수 있고, 제1 인터커넥트들 또는 제2 인터커넥트들은 금속-대-금속 인터커넥트들인 것을 추가로 명시할 수 있다.
예 68은 예 63의 청구 대상을 포함할 수 있고, 제1 인터커넥트들 또는 제2 인터커넥트들은 이방성 전도성 재료를 포함하는 것을 추가로 명시할 수 있다.
예 69는 예 63의 청구 대상을 포함할 수 있고, 제1 인터커넥트들의 피치는 제2 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 70은 예 63의 청구 대상을 포함할 수 있고, 제2 인터커넥트들의 피치는 제3 인터커넥트들의 피치와 상이한 것을 추가로 명시할 수 있다.
예 71은 예 63의 청구 대상을 포함할 수 있고, 제1 다이와 제2 다이 사이에 또는 제2 다이와 제3 다이 사이에 재분배 층을 형성하는 것을 추가로 포함할 수 있다.
예 72는 예 63의 청구 대상을 포함할 수 있고, 제1 다이와 패키지 기판 사이에 제4 인터커넥트들을 형성하는 단계―제4 인터커넥트들은 제1 다이 상의 제1 전도성 컨택트들을 패키지 기판의 표면 상의 전도성 컨택트들에 결합함―를 추가로 포함할 수 있다.
Claims (20)
- 마이크로전자 어셈블리로서,
패키지 기판;
상기 패키지 기판 위의 제1 절연 재료 층 - 상기 제1 절연 재료 층은 제1 측방향 폭을 가짐 - ;
상기 제1 절연 재료 층 내의 제1 다이 - 상기 제1 다이는 최하부 측에 대향하는 최상부 측, 그리고 상기 최상부 측과 상기 최하부 측 사이의 제1 측벽 및 제2 측벽을 갖고, 상기 제2 측벽은 상기 제1 측벽에 측방향으로 대향함 - ;
상기 제1 절연 재료 층 내의 제1 인터커넥트 - 상기 제1 인터커넥트는 상기 제1 다이의 상기 제1 측벽으로부터 측방향으로 이격됨 - ;
상기 제1 절연 재료 층 내의 제2 인터커넥트 - 상기 제2 인터커넥트는 상기 제1 다이의 상기 제2 측벽으로부터 측방향으로 이격됨 - ;
상기 제1 절연 재료 층 위의 그리고 상기 제1 다이 위의 재분배 층 - 상기 재분배 층은 상기 제1 인터커넥트에 결합되고, 상기 재분배 층은 상기 제1 다이에 결합되고, 상기 재분배 층은 상기 제2 인터커넥트에 결합되고, 상기 재분배 층은 제2 측방향 폭을 갖고, 상기 제2 측방향 폭은 상기 제1 측방향 폭과 동일함 - ;
상기 재분배 층 위의 제2 절연 재료 층 - 상기 제2 절연 재료 층은 제3 측방향 폭을 갖고, 상기 제3 측방향 폭은 상기 제2 측방향 폭과 동일함 - ;
상기 제2 절연 재료 층 내의 제2 다이 - 상기 제2 다이는 상기 재분배 층에 결합됨 - ; 및
상기 제2 절연 재료 층 내의 제3 다이 - 상기 제3 다이는 상기 재분배 층에 결합되고, 상기 제3 다이는 상기 제2 다이로부터 측방향으로 이격됨 -
를 포함하는, 마이크로전자 어셈블리. - 제1항에 있어서, 상기 제3 다이는 상기 제2 다이보다 더 얇은, 마이크로전자 어셈블리.
- 제1항에 있어서, 상기 제3 다이 위에 있고 상기 제3 다이에 결합된 제4 다이를 추가로 포함하는, 마이크로전자 어셈블리.
- 제1항에 있어서, 상기 제1 절연 재료 층은 상기 제1 인터커넥트 및 상기 제2 인터커넥트의 수직 두께와 동일한 수직 두께를 갖는, 마이크로전자 어셈블리.
- 제1항에 있어서, 상기 제1 절연 재료 층은 상기 제1 인터커넥트 및 상기 제2 인터커넥트의 수직 두께보다 큰 수직 두께를 갖는, 마이크로전자 어셈블리.
- 제1항에 있어서, 상기 제1 인터커넥트 및 상기 제2 인터커넥트는 상기 제1 다이의 최하부 아래로 연장되는, 마이크로전자 어셈블리.
- 제1항에 있어서, 상기 제1 인터커넥트로부터 측방향으로 이격된 제3 인터커넥트, 및 상기 제2 인터커넥트로부터 측방향으로 이격된 제4 인터커넥트를 추가로 포함하는, 마이크로전자 어셈블리.
- 제7항에 있어서, 상기 제3 인터커넥트 및 상기 제1 인터커넥트는 피치를 갖고, 상기 제4 인터커넥트 및 상기 제2 인터커넥트는 상기 피치를 갖고, 상기 피치는 100 내지 300 마이크로미터인, 마이크로전자 어셈블리.
- 마이크로전자 어셈블리로서,
패키지 기판;
상기 패키지 기판 위의 제1 절연 재료 층 - 상기 제1 절연 재료 층은 제1 측 및 제2 측을 갖고, 상기 제2 측은 상기 제1 측에 측방향으로 대향함 - ;
상기 제1 절연 재료 층 내의 제1 다이;
상기 제1 절연 재료 층 내의 제1 인터커넥트 - 상기 제1 인터커넥트는 상기 제1 다이로부터 측방향으로 이격됨 - ;
상기 제1 절연 재료 층 내의 제2 인터커넥트 - 상기 제2 인터커넥트는 상기 제1 다이로부터 측방향으로 이격되고, 상기 제1 다이는 측방향으로 상기 제1 인터커넥트와 상기 제2 인터커넥트의 사이에 있음 - ;
상기 제1 절연 재료 층 위의 그리고 상기 제1 다이 위의 재분배 층 - 상기 재분배 층은 상기 제1 인터커넥트에 결합되고, 상기 재분배 층은 상기 제1 다이에 결합되고, 상기 재분배 층은 상기 제2 인터커넥트에 결합되고, 상기 재분배 층은 상기 제1 절연 재료 층의 상기 제1 측으로부터 상기 제1 절연 재료 층의 상기 제2 측으로 연장됨 - ;
상기 재분배 층 위의 제2 절연 재료 층 - 상기 제2 절연 재료 층은 상기 제1 절연 재료 층의 상기 제1 측으로부터 상기 제1 절연 재료 층의 상기 제2 측으로 연장됨 - ;
상기 제2 절연 재료 층 내의 제2 다이 - 상기 제2 다이는 상기 재분배 층에 결합됨 - ;
상기 제2 절연 재료 층 내의 제3 다이 - 상기 제3 다이는 상기 재분배 층에 결합되고, 상기 제3 다이는 상기 제2 다이로부터 측방향으로 이격되고, 상기 제3 다이는 상기 제2 다이보다 얇음 - ; 및
상기 제3 다이 위에 있고 상기 제3 다이에 결합된 제4 다이
를 포함하는, 마이크로전자 어셈블리. - 제9항에 있어서, 상기 제1 절연 재료 층은 상기 제1 인터커넥트 및 상기 제2 인터커넥트의 수직 두께와 동일한 수직 두께를 갖는, 마이크로전자 어셈블리.
- 제9항에 있어서, 상기 제1 절연 재료 층은 상기 제1 인터커넥트 및 상기 제2 인터커넥트의 수직 두께보다 큰 수직 두께를 갖는, 마이크로전자 어셈블리.
- 제9항에 있어서, 상기 제1 인터커넥트로부터 측방향으로 이격된 제3 인터커넥트, 및 상기 제2 인터커넥트로부터 측방향으로 이격된 제4 인터커넥트를 추가로 포함하고,
상기 제3 인터커넥트 및 상기 제1 인터커넥트는 피치를 갖고, 상기 제4 인터커넥트 및 상기 제2 인터커넥트는 상기 피치를 갖고, 상기 피치는 100 내지 300 마이크로미터인 마이크로전자 어셈블리. - 마이크로전자 어셈블리를 제조하는 방법으로서,
패키지 기판 위에 제1 절연 재료 층을 형성하는 단계 - 상기 제1 절연 재료 층은 제1 측방향 폭을 가짐 - ;
상기 제1 절연 재료 층 내에 제1 다이를 배치하는 단계 - 상기 제1 다이는 최하부 측에 대향하는 최상부 측, 그리고 상기 최상부 측과 상기 최하부 측 사이의 제1 측벽 및 제2 측벽을 갖고, 상기 제2 측벽은 상기 제1 측벽에 측방향으로 대향함 - ;
상기 제1 절연 재료 층 내에 제1 인터커넥트를 형성하는 단계 - 상기 제1 인터커넥트는 상기 제1 다이의 상기 제1 측벽으로부터 측방향으로 이격됨 - ;
상기 제1 절연 재료 층 내에 제2 인터커넥트를 형성하는 단계 - 상기 제2 인터커넥트는 상기 제1 다이의 상기 제2 측벽으로부터 측방향으로 이격됨 - ;
상기 제1 절연 재료 층 위에 그리고 상기 제1 다이 위에 재분배 층을 형성하는 단계 - 상기 재분배 층은 상기 제1 인터커넥트에 결합되고, 상기 재분배 층은 상기 제1 다이에 결합되고, 상기 재분배 층은 상기 제2 인터커넥트에 결합되고, 상기 재분배 층은 제2 측방향 폭을 갖고, 상기 제2 측방향 폭은 상기 제1 측방향 폭과 동일함 - ;
상기 재분배 층 위에 제2 절연 재료 층을 형성하는 단계 - 상기 제2 절연 재료 층은 제3 측방향 폭을 갖고, 상기 제3 측방향 폭은 상기 제2 측방향 폭과 동일함 - ;
상기 제2 절연 재료 층 내에 제2 다이를 제공하는 단계 - 상기 제2 다이는 상기 재분배 층에 결합됨 - ; 및
상기 제2 절연 재료 층 내에 제3 다이를 제공하는 단계 - 상기 제3 다이는 상기 재분배 층에 결합되고, 상기 제3 다이는 상기 제2 다이로부터 측방향으로 이격됨 -
를 포함하는, 마이크로전자 어셈블리를 제조하는 방법. - 제13항에 있어서, 상기 제3 다이는 상기 제2 다이보다 얇은, 마이크로전자 어셈블리를 제조하는 방법.
- 제13항에 있어서, 제4 다이를 상기 제3 다이에 결합하는 단계를 추가로 포함하는, 마이크로전자 어셈블리를 제조하는 방법.
- 제13항에 있어서, 상기 제1 절연 재료 층은 상기 제1 인터커넥트 및 상기 제2 인터커넥트의 수직 두께와 동일한 수직 두께를 갖는, 마이크로전자 어셈블리를 제조하는 방법.
- 제13항에 있어서, 상기 제1 절연 재료 층은 상기 제1 인터커넥트 및 상기 제2 인터커넥트의 수직 두께보다 큰 수직 두께를 갖는, 마이크로전자 어셈블리를 제조하는 방법.
- 제13항에 있어서, 상기 제1 인터커넥트 및 상기 제2 인터커넥트는 상기 제1 다이의 최하부 아래로 연장되는, 마이크로전자 어셈블리를 제조하는 방법.
- 제13항에 있어서, 상기 제1 인터커넥트로부터 측방향으로 이격된 제3 인터커넥트, 및 상기 제2 인터커넥트로부터 측방향으로 이격된 제4 인터커넥트를 형성하는 단계를 추가로 포함하는, 마이크로전자 어셈블리를 제조하는 방법.
- 제19항에 있어서, 상기 제3 인터커넥트 및 상기 제1 인터커넥트는 피치를 갖고, 상기 제4 인터커넥트 및 상기 제2 인터커넥트는 상기 피치를 갖고, 상기 피치는 100 내지 300 마이크론미터인, 마이크로전자 어셈블리를 제조하는 방법.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020237036277A KR20230151075A (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16/008,879 | 2018-06-14 | ||
US16/008,879 US11469206B2 (en) | 2018-06-14 | 2018-06-14 | Microelectronic assemblies |
PCT/US2019/032159 WO2019240901A1 (en) | 2018-06-14 | 2019-05-14 | Microelectronic assemblies |
KR1020207025378A KR102552325B1 (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020207025378A Division KR102552325B1 (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020237036277A Division KR20230151075A (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20230011436A KR20230011436A (ko) | 2023-01-20 |
KR102594483B1 true KR102594483B1 (ko) | 2023-10-30 |
Family
ID=68840278
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020237036277A KR20230151075A (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
KR1020227046430A KR102594483B1 (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
KR1020207025378A KR102552325B1 (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020237036277A KR20230151075A (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020207025378A KR102552325B1 (ko) | 2018-06-14 | 2019-05-14 | 마이크로전자 어셈블리들 |
Country Status (7)
Country | Link |
---|---|
US (3) | US11469206B2 (ko) |
EP (2) | EP4181191A3 (ko) |
JP (2) | JP7282794B2 (ko) |
KR (3) | KR20230151075A (ko) |
CN (2) | CN115954352A (ko) |
TW (2) | TWI848495B (ko) |
WO (1) | WO2019240901A1 (ko) |
Families Citing this family (60)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10366968B2 (en) * | 2016-09-30 | 2019-07-30 | Intel IP Corporation | Interconnect structure for a microelectronic device |
US10757800B1 (en) | 2017-06-22 | 2020-08-25 | Flex Ltd. | Stripline transmission lines with cross-hatched pattern return plane, where the striplines do not overlap any intersections in the cross-hatched pattern |
WO2019066998A1 (en) * | 2017-09-30 | 2019-04-04 | Intel Corporation | STACKED HOUSING WITH ELECTRICAL CONNECTIONS CREATED BY HIGH-FLOW ADDITIVE MANUFACTURE |
CN111164751A (zh) | 2017-12-29 | 2020-05-15 | 英特尔公司 | 微电子组件 |
WO2019132967A1 (en) | 2017-12-29 | 2019-07-04 | Intel Corporation | Microelectronic assemblies |
CN116798983A (zh) | 2017-12-29 | 2023-09-22 | 英特尔公司 | 具有通信网络的微电子组件 |
WO2019132971A1 (en) | 2017-12-29 | 2019-07-04 | Intel Corporation | Microelectronic assemblies |
US11494682B2 (en) | 2017-12-29 | 2022-11-08 | Intel Corporation | Quantum computing assemblies |
US10361162B1 (en) * | 2018-01-23 | 2019-07-23 | Globalfoundries Singapore Pte. Ltd. | Magnetic shielding of STT-MRAM in multichip packaging and method of manufacturing the same |
US11039531B1 (en) | 2018-02-05 | 2021-06-15 | Flex Ltd. | System and method for in-molded electronic unit using stretchable substrates to create deep drawn cavities and features |
US11735570B2 (en) * | 2018-04-04 | 2023-08-22 | Intel Corporation | Fan out packaging pop mechanical attach method |
US10700051B2 (en) * | 2018-06-04 | 2020-06-30 | Intel Corporation | Multi-chip packaging |
US11469206B2 (en) | 2018-06-14 | 2022-10-11 | Intel Corporation | Microelectronic assemblies |
JP2020013877A (ja) * | 2018-07-18 | 2020-01-23 | 太陽誘電株式会社 | 半導体モジュール |
US11735548B2 (en) * | 2018-08-08 | 2023-08-22 | Kuprion Inc. | Electronics assemblies employing copper in multiple locations |
US20200051956A1 (en) * | 2018-08-09 | 2020-02-13 | Intel Corporation | Fine pitch z connections for flip chip memory architectures with interposer |
US11114311B2 (en) * | 2018-08-30 | 2021-09-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chip package structure and method for forming the same |
US10964660B1 (en) | 2018-11-20 | 2021-03-30 | Flex Ltd. | Use of adhesive films for 3D pick and place assembly of electronic components |
US10896877B1 (en) * | 2018-12-14 | 2021-01-19 | Flex Ltd. | System in package with double side mounted board |
US11476200B2 (en) * | 2018-12-20 | 2022-10-18 | Nanya Technology Corporation | Semiconductor package structure having stacked die structure |
US11562982B2 (en) * | 2019-04-29 | 2023-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit packages and methods of forming the same |
US11352252B2 (en) | 2019-06-21 | 2022-06-07 | Amkor Technology Singapore Holding Pte. Ltd. | Semiconductor device and method of manufacturing semiconductor device |
US11257776B2 (en) * | 2019-09-17 | 2022-02-22 | Advanced Semiconductor Engineering, Inc. | Semiconductor package structure and method for manufacturing the same |
US11587905B2 (en) * | 2019-10-09 | 2023-02-21 | Industrial Technology Research Institute | Multi-chip package and manufacturing method thereof |
US11211371B2 (en) * | 2019-10-18 | 2021-12-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit package and method |
US11387222B2 (en) * | 2019-10-18 | 2022-07-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit package and method |
DE102020114141B4 (de) | 2019-10-18 | 2024-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integriertes schaltungspackage und verfahren |
US20210134690A1 (en) * | 2019-11-01 | 2021-05-06 | Advanced Semiconductor Engineering, Inc. | Semiconductor device packages and methods of manufacturing the same |
US11062947B1 (en) * | 2019-12-19 | 2021-07-13 | Intel Corporation | Inorganic dies with organic interconnect layers and related structures |
US11049791B1 (en) * | 2019-12-26 | 2021-06-29 | Intel Corporation | Heat spreading layer integrated within a composite IC die structure and methods of forming the same |
US11239174B2 (en) * | 2019-12-27 | 2022-02-01 | Advanced Semiconductor Engineering, Inc. | Semiconductor package structure and method for manufacturing the same |
TWI768294B (zh) * | 2019-12-31 | 2022-06-21 | 力成科技股份有限公司 | 封裝結構及其製造方法 |
KR20210087299A (ko) * | 2020-01-02 | 2021-07-12 | 삼성전기주식회사 | 고주파 모듈 및 이를 포함하는 전자기기 |
US11557568B2 (en) * | 2020-02-26 | 2023-01-17 | Taiwan Semiconductor Manufacturing Company. Ltd. | Package and manufacturing method thereof |
KR20210110008A (ko) | 2020-02-28 | 2021-09-07 | 삼성전자주식회사 | 반도체 패키지 |
DE102021104688A1 (de) * | 2020-04-30 | 2021-11-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stromverteilungsstruktur und verfahren |
US20210407903A1 (en) * | 2020-06-26 | 2021-12-30 | Intel Corporation | High-throughput additively manufactured power delivery vias and traces |
US11830817B2 (en) * | 2020-08-12 | 2023-11-28 | Advanced Micro Devices, Inc. | Creating interconnects between dies using a cross-over die and through-die vias |
TWI722959B (zh) | 2020-08-20 | 2021-03-21 | 欣興電子股份有限公司 | 晶片封裝結構 |
US11990448B2 (en) * | 2020-09-18 | 2024-05-21 | Intel Corporation | Direct bonding in microelectronic assemblies |
KR20220042028A (ko) * | 2020-09-25 | 2022-04-04 | 삼성전자주식회사 | 반도체 패키지 |
US11552055B2 (en) * | 2020-11-20 | 2023-01-10 | Qualcomm Incorporated | Integrated circuit (IC) packages employing front side back-end-of-line (FS-BEOL) to back side back-end-of-line (BS-BEOL) stacking for three-dimensional (3D) die stacking, and related fabrication methods |
TWI762046B (zh) * | 2020-11-24 | 2022-04-21 | 恆勁科技股份有限公司 | 半導體封裝結構及其製造方法 |
US11616019B2 (en) * | 2020-12-21 | 2023-03-28 | Nvidia Corp. | Semiconductor assembly |
US11769731B2 (en) * | 2021-01-14 | 2023-09-26 | Taiwan Semiconductor Manufacturing Co., Ltd | Architecture for computing system package |
US20220256722A1 (en) * | 2021-02-05 | 2022-08-11 | Advanced Semiconductor Engineering, Inc. | Electronic device package and method of manufacturing the same |
JP2022135735A (ja) | 2021-03-05 | 2022-09-15 | キオクシア株式会社 | 半導体装置およびその製造方法 |
JP7410898B2 (ja) * | 2021-03-11 | 2024-01-10 | アオイ電子株式会社 | 半導体装置の製造方法および半導体装置 |
US12087733B2 (en) * | 2021-05-13 | 2024-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Packages with multiple types of underfill and method forming the same |
US11823980B2 (en) * | 2021-07-29 | 2023-11-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package structure and manufacturing method thereof |
US20230060265A1 (en) * | 2021-08-28 | 2023-03-02 | Taiwan Semiconductor Manufacturing Company Limited | Three-dimensional integrated circuit |
TWI798805B (zh) * | 2021-09-01 | 2023-04-11 | 恆勁科技股份有限公司 | 半導體封裝載板及其製造方法 |
US20230065248A1 (en) * | 2021-09-01 | 2023-03-02 | Micron Technology, Inc. | Polymer coated semiconductor devices and hybrid bonding to form semiconductor assemblies |
US20230095063A1 (en) * | 2021-09-24 | 2023-03-30 | Intel Corporation | Integrating voltage regulators and passive circuit elements with top side power planes in stacked die architectures |
US20230170328A1 (en) * | 2021-11-30 | 2023-06-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Shared pad/bridge layout for a 3d ic |
TWI847316B (zh) * | 2021-12-03 | 2024-07-01 | 財團法人工業技術研究院 | 電子封裝體及電子封裝體的製造方法 |
US20230187407A1 (en) * | 2021-12-10 | 2023-06-15 | Intel Corporation | Fine-grained disaggregated server architecture |
WO2024011603A1 (zh) * | 2022-07-15 | 2024-01-18 | 华为技术有限公司 | 芯片封装结构、电子设备及芯片封装结构的封装方法 |
WO2024029138A1 (ja) * | 2022-08-01 | 2024-02-08 | 株式会社村田製作所 | 複合部品デバイスおよびその製造方法 |
DE102022213499A1 (de) * | 2022-12-13 | 2024-06-13 | Robert Bosch Gesellschaft mit beschränkter Haftung | Elektronikanordnung und Verfahren zum Ausbilden einer Elektronikanordnung |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007287803A (ja) * | 2006-04-13 | 2007-11-01 | Sony Corp | 三次元半導体パッケージ製造方法 |
JP2012527127A (ja) | 2009-05-14 | 2012-11-01 | メギカ・コーポレイション | システムインパッケージ |
US20160155723A1 (en) | 2014-11-27 | 2016-06-02 | Chengwei Wu | Semiconductor package |
US20170365587A1 (en) | 2016-06-17 | 2017-12-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor package and manufacturing method of the same |
Family Cites Families (66)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6150724A (en) | 1998-03-02 | 2000-11-21 | Motorola, Inc. | Multi-chip semiconductor device and method for making the device by using multiple flip chip interfaces |
US6084308A (en) | 1998-06-30 | 2000-07-04 | National Semiconductor Corporation | Chip-on-chip integrated circuit package and method for making the same |
JP2004165234A (ja) | 2002-11-11 | 2004-06-10 | Casio Comput Co Ltd | 半導体装置およびその製造方法 |
US6659512B1 (en) | 2002-07-18 | 2003-12-09 | Hewlett-Packard Development Company, L.P. | Integrated circuit package employing flip-chip technology and method of assembly |
JP4581768B2 (ja) | 2005-03-16 | 2010-11-17 | ソニー株式会社 | 半導体装置の製造方法 |
TW201101476A (en) | 2005-06-02 | 2011-01-01 | Sony Corp | Semiconductor image sensor module and method of manufacturing the same |
US8335084B2 (en) | 2005-08-01 | 2012-12-18 | Georgia Tech Research Corporation | Embedded actives and discrete passives in a cavity within build-up layers |
JP3942190B1 (ja) | 2006-04-25 | 2007-07-11 | 国立大学法人九州工業大学 | 両面電極構造の半導体装置及びその製造方法 |
US8227904B2 (en) | 2009-06-24 | 2012-07-24 | Intel Corporation | Multi-chip package and method of providing die-to-die interconnects in same |
US8618654B2 (en) | 2010-07-20 | 2013-12-31 | Marvell World Trade Ltd. | Structures embedded within core material and methods of manufacturing thereof |
US8558392B2 (en) | 2010-05-14 | 2013-10-15 | Stats Chippac, Ltd. | Semiconductor device and method of forming interconnect structure and mounting semiconductor die in recessed encapsulant |
US8354297B2 (en) * | 2010-09-03 | 2013-01-15 | Stats Chippac, Ltd. | Semiconductor device and method of forming different height conductive pillars to electrically interconnect stacked laterally offset semiconductor die |
US9337116B2 (en) | 2010-10-28 | 2016-05-10 | Stats Chippac, Ltd. | Semiconductor device and method of forming stepped interposer for stacking and electrically connecting semiconductor die |
TWI538071B (zh) | 2010-11-16 | 2016-06-11 | 星科金朋有限公司 | 具連接結構之積體電路封裝系統及其製造方法 |
KR20120110451A (ko) * | 2011-03-29 | 2012-10-10 | 삼성전자주식회사 | 반도체 패키지 |
US9087701B2 (en) | 2011-04-30 | 2015-07-21 | Stats Chippac, Ltd. | Semiconductor device and method of embedding TSV semiconductor die within substrate for vertical interconnect in POP |
US9978656B2 (en) | 2011-11-22 | 2018-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for forming fine-pitch copper bump structures |
US8716859B2 (en) | 2012-01-10 | 2014-05-06 | Intel Mobile Communications GmbH | Enhanced flip chip package |
US8686570B2 (en) | 2012-01-20 | 2014-04-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-dimensional integrated circuit structures and methods of forming the same |
US8981511B2 (en) | 2012-02-29 | 2015-03-17 | Semiconductor Components Industries, Llc | Multi-chip package for imaging systems |
CN102593087B (zh) | 2012-03-01 | 2014-09-03 | 华进半导体封装先导技术研发中心有限公司 | 一种用于三维集成混合键合结构及其键合方法 |
WO2013162519A1 (en) | 2012-04-24 | 2013-10-31 | Intel Corporation | Suspended inductor microelectronic structures |
US9136236B2 (en) | 2012-09-28 | 2015-09-15 | Intel Corporation | Localized high density substrate routing |
EP2935444B1 (en) | 2012-12-20 | 2019-09-18 | 3M Innovative Properties Company | Composite particles including a fluoropolymer, methods of making, and articles including the same |
US9349703B2 (en) | 2013-09-25 | 2016-05-24 | Intel Corporation | Method for making high density substrate interconnect using inkjet printing |
US9379074B2 (en) | 2013-11-22 | 2016-06-28 | Invensas Corporation | Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects |
US9805997B2 (en) | 2014-01-27 | 2017-10-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packaging methods for semiconductor devices with encapsulant ring |
US9653443B2 (en) | 2014-02-14 | 2017-05-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal performance structure for semiconductor packages and method of forming same |
KR102167599B1 (ko) * | 2014-03-04 | 2020-10-19 | 에스케이하이닉스 주식회사 | 칩 스택 임베디드 패키지 |
US9418924B2 (en) | 2014-03-20 | 2016-08-16 | Invensas Corporation | Stacked die integrated circuit |
US9318452B2 (en) | 2014-03-21 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor packages and methods of forming the same |
US9859265B2 (en) | 2014-06-06 | 2018-01-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package structure and methods of forming the same |
KR102245003B1 (ko) | 2014-06-27 | 2021-04-28 | 삼성전자주식회사 | 오버행을 극복할 수 있는 반도체 패키지 및 그 제조방법 |
US9704735B2 (en) | 2014-08-19 | 2017-07-11 | Intel Corporation | Dual side solder resist layers for coreless packages and packages with an embedded interconnect bridge and their methods of fabrication |
JP6276151B2 (ja) | 2014-09-17 | 2018-02-07 | 東芝メモリ株式会社 | 半導体装置 |
US9542522B2 (en) | 2014-09-19 | 2017-01-10 | Intel Corporation | Interconnect routing configurations and associated techniques |
US9406799B2 (en) | 2014-10-21 | 2016-08-02 | Globalfoundries Inc. | High mobility PMOS and NMOS devices having Si—Ge quantum wells |
US9812429B2 (en) | 2014-11-05 | 2017-11-07 | Massachusetts Institute Of Technology | Interconnect structures for assembly of multi-layer semiconductor devices |
KR102203669B1 (ko) | 2014-11-24 | 2021-01-14 | 에스케이하이닉스 주식회사 | NoC 구조의 반도체 장치 및 그의 라우팅 방법 |
US9634053B2 (en) | 2014-12-09 | 2017-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Image sensor chip sidewall interconnection |
US10181410B2 (en) | 2015-02-27 | 2019-01-15 | Qualcomm Incorporated | Integrated circuit package comprising surface capacitor and ground plane |
US9601471B2 (en) | 2015-04-23 | 2017-03-21 | Apple Inc. | Three layer stack structure |
US10134972B2 (en) | 2015-07-23 | 2018-11-20 | Massachusetts Institute Of Technology | Qubit and coupler circuit structures and coupling techniques |
US9842813B2 (en) | 2015-09-21 | 2017-12-12 | Altera Corporation | Tranmission line bridge interconnects |
US9917072B2 (en) | 2015-09-21 | 2018-03-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing an integrated stacked package with a fan-out redistribution layer (RDL) and a same encapsulating process |
WO2017052653A1 (en) * | 2015-09-25 | 2017-03-30 | Intel Corporation | Selective die transfer using controlled de-bonding from a carrier wafer |
US9761533B2 (en) | 2015-10-16 | 2017-09-12 | Xilinx, Inc. | Interposer-less stack die interconnect |
KR102399465B1 (ko) | 2015-10-23 | 2022-05-18 | 삼성전자주식회사 | 로직 반도체 소자 |
JP2017092094A (ja) | 2015-11-04 | 2017-05-25 | 富士通株式会社 | 電子装置、電子装置の製造方法及び電子機器 |
WO2017079394A1 (en) | 2015-11-05 | 2017-05-11 | Massachusetts Institute Of Technology | Interconnect structures and methods for fabricating interconnect structures |
US9984998B2 (en) * | 2016-01-06 | 2018-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Devices employing thermal and mechanical enhanced layers and methods of forming same |
JP6449798B2 (ja) | 2016-01-26 | 2019-01-09 | 太陽誘電株式会社 | 積層セラミック電子部品及びその製造方法、並びにセラミック素体 |
KR101966328B1 (ko) | 2016-03-29 | 2019-04-05 | 삼성전기주식회사 | 인쇄회로기판 및 그 제조방법 |
WO2017213649A1 (en) | 2016-06-09 | 2017-12-14 | Intel Corporation | Quantum dot devices with double quantum well structures |
US10340206B2 (en) | 2016-08-05 | 2019-07-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dense redistribution layers in semiconductor packages and methods of forming the same |
US10748872B2 (en) | 2017-08-22 | 2020-08-18 | Micron Technology, Inc. | Integrated semiconductor assemblies and methods of manufacturing the same |
WO2019132967A1 (en) | 2017-12-29 | 2019-07-04 | Intel Corporation | Microelectronic assemblies |
CN116798983A (zh) | 2017-12-29 | 2023-09-22 | 英特尔公司 | 具有通信网络的微电子组件 |
US11494682B2 (en) | 2017-12-29 | 2022-11-08 | Intel Corporation | Quantum computing assemblies |
WO2019132961A1 (en) | 2017-12-29 | 2019-07-04 | Intel Corporation | Microelectronic assemblies |
WO2019132971A1 (en) | 2017-12-29 | 2019-07-04 | Intel Corporation | Microelectronic assemblies |
CN111164751A (zh) | 2017-12-29 | 2020-05-15 | 英特尔公司 | 微电子组件 |
WO2019132965A1 (en) | 2017-12-29 | 2019-07-04 | Intel Corporation | Microelectronic assemblies |
US11469206B2 (en) | 2018-06-14 | 2022-10-11 | Intel Corporation | Microelectronic assemblies |
US10826492B2 (en) | 2018-08-31 | 2020-11-03 | Xilinx, Inc. | Power gating in stacked die structures |
US11056453B2 (en) | 2019-06-18 | 2021-07-06 | Deca Technologies Usa, Inc. | Stackable fully molded semiconductor structure with vertical interconnects |
-
2018
- 2018-06-14 US US16/008,879 patent/US11469206B2/en active Active
-
2019
- 2019-05-06 TW TW111150597A patent/TWI848495B/zh active
- 2019-05-06 TW TW108115558A patent/TWI829688B/zh active
- 2019-05-14 CN CN202211736597.7A patent/CN115954352A/zh active Pending
- 2019-05-14 CN CN201980021409.2A patent/CN111902933A/zh active Pending
- 2019-05-14 JP JP2020545627A patent/JP7282794B2/ja active Active
- 2019-05-14 KR KR1020237036277A patent/KR20230151075A/ko not_active Application Discontinuation
- 2019-05-14 EP EP22217283.5A patent/EP4181191A3/en active Pending
- 2019-05-14 KR KR1020227046430A patent/KR102594483B1/ko active IP Right Grant
- 2019-05-14 WO PCT/US2019/032159 patent/WO2019240901A1/en active Application Filing
- 2019-05-14 EP EP19819772.5A patent/EP3807932A4/en active Pending
- 2019-05-14 KR KR1020207025378A patent/KR102552325B1/ko active IP Right Grant
-
2020
- 2020-12-21 US US17/129,221 patent/US11616047B2/en active Active
-
2022
- 2022-12-29 US US18/090,801 patent/US12113048B2/en active Active
-
2023
- 2023-04-03 JP JP2023059898A patent/JP2023098916A/ja active Pending
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007287803A (ja) * | 2006-04-13 | 2007-11-01 | Sony Corp | 三次元半導体パッケージ製造方法 |
JP2012527127A (ja) | 2009-05-14 | 2012-11-01 | メギカ・コーポレイション | システムインパッケージ |
US20160155723A1 (en) | 2014-11-27 | 2016-06-02 | Chengwei Wu | Semiconductor package |
US20170365587A1 (en) | 2016-06-17 | 2017-12-21 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor package and manufacturing method of the same |
Also Published As
Publication number | Publication date |
---|---|
TWI829688B (zh) | 2024-01-21 |
EP4181191A3 (en) | 2023-09-27 |
US20210111156A1 (en) | 2021-04-15 |
CN111902933A (zh) | 2020-11-06 |
US11469206B2 (en) | 2022-10-11 |
EP4181191A2 (en) | 2023-05-17 |
KR20230011436A (ko) | 2023-01-20 |
EP3807932A4 (en) | 2022-03-16 |
US12113048B2 (en) | 2024-10-08 |
KR20230151075A (ko) | 2023-10-31 |
EP3807932A1 (en) | 2021-04-21 |
CN115954352A (zh) | 2023-04-11 |
JP2021526309A (ja) | 2021-09-30 |
US20190385977A1 (en) | 2019-12-19 |
KR20210010431A (ko) | 2021-01-27 |
JP2023098916A (ja) | 2023-07-11 |
TW202013652A (zh) | 2020-04-01 |
TWI848495B (zh) | 2024-07-11 |
WO2019240901A1 (en) | 2019-12-19 |
KR102552325B1 (ko) | 2023-07-07 |
TW202318610A (zh) | 2023-05-01 |
US11616047B2 (en) | 2023-03-28 |
US20230133235A1 (en) | 2023-05-04 |
JP7282794B2 (ja) | 2023-05-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102594483B1 (ko) | 마이크로전자 어셈블리들 | |
US11901330B2 (en) | Microelectronic assemblies | |
US12080652B2 (en) | Microelectronic assemblies with communication networks | |
US20220254754A1 (en) | Microelectronic assemblies | |
US20240128255A1 (en) | Microelectronic assemblies | |
US20220384389A1 (en) | Microelectronic assemblies | |
US12087746B2 (en) | Microelectronic assemblies having an integrated capacitor | |
EP4102556A1 (en) | Microelectronic assemblies having integrated thin film capacitors | |
US20230187386A1 (en) | Microelectronic assemblies with glass substrates and planar inductors |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
A107 | Divisional application of patent | ||
GRNT | Written decision to grant |