Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

Reducing Writebacks Through In-Cache Displacement

Published: 10 January 2019 Publication History

Abstract

Non-Volatile Memory (NVM) technology is a promising solution to fulfill the ever-growing need for higher capacity in the main memory of modern systems. Despite having many great features, however, NVM’s poor write performance remains a severe obstacle, preventing it from being used as a DRAM alternative in the main memory. Most of the prior work targeted optimizing writes at the main memory side and neglected the decisive role of upper-level cache management policies on reducing the number of writes.
In this article, we propose a novel cache management policy that attempts to maximize write-coalescing in the on-chip SRAM last-level cache (LLC) for the sake of reducing the number of costly writes to the off-chip NVM. We decouple a few physical ways of the LLC to have a dedicated and exclusive storage for the dirty blocks after being evicted from the cache and before being sent to the off-chip memory. By displacing dirty blocks in exclusive storage, they are kept in the cache based on their rewrite distance and are evicted when they are unlikely to be reused shortly. To maximize the effectiveness of exclusive storage, we manage it as a Cuckoo Cache to offer associativity based on the various applications’ demands. Through detailed evaluations targeting various single- and multi-threaded applications, we show that our proposal reduces the number of writebacks by 21%, on average, over the state-of-the-art method and enhances both performance and energy efficiency.

References

[1]
Cortex-A7 MPCore, Technical Reference Manual. {n.d.} Retrieved December 7, 2018 from http://infocenter.arm.com/help/topic/com.arm.doc.ddi0464f/DDI0464F_cortex_a7_mpcore_r0p5_trm.pdf.
[2]
Wind River Simics Full System Simulator. {n.d.} Retrieved December 7, 2018 from http://www.windriver.com/products/simics.
[3]
Mohammad Arjomand, Amin Jadidi, Ali Shafiee, and Hamid Sarbazi-Azad. 2011. A morphable phase change memory architecture considering frequent zero values. In International Conference on Computer Design (ICCD’11). IEEE Computer Society, Washington, DC, 373--380.
[4]
Mohammad Arjomand, Mahmut T. Kandemir, Anand Sivasubramaniam, and Chita R. Das. 2016. Boosting access parallelism to PCM-based main memory. In International Symposium on Computer Architecture. 695--706.
[5]
Barry C. Arnold. 2015. Pareto Distribution. Wiley Online Library. Hoboken, NJ.
[6]
Marjan Asadinia, Mohammad Arjomand, and Hamid Sarbazi-Azad. 2014. OD3P: On-demand page paired PCM. In Design Automation Conference. 1--6.
[7]
G. Atwood and R. Bez. 2007. 90nm phase change technology with μTrench and lance cell elements. In VLSI Technology, Systems and Applications. 1--2.
[8]
Mohammad Bakhshalipour, Pejman Lotfi-Kamran, Abbas Mazloumi, Farid Samandi, Mahmood Naderan, Mehdi Modarressi, and Hamid Sarbazi-Azad. 2018. Fast data delivery for many-core processors. IEEE Transactions on Computers 67, 10 (Oct 2018), 1416--1429.
[9]
Mohammad Bakhshalipour, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2017. An efficient temporal data prefetcher for L1 caches. IEEE Computer Architecture Letters 16, 2 (July 2017), 99--102.
[10]
Mohammad Bakhshalipour, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2018. Domino temporal data prefetcher. In High-Performance Computer Architecture. 131--142.
[11]
Mohammad Bakhshalipour, Mehran Shakerinava, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2019. Bingo spatial data prefetcher. In High-Performance Computer Architecture.
[12]
Mohammad Bakhshalipour, HamidReza Zare, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2018. Die-stacked DRAM: Memory, cache, or MemCache? arXiv preprint arXiv:1809.08828 (2018). arXiv:1809.08828 http://arxiv.org/abs/1809.08828
[13]
Neal Barcelo, Miao Zhou, Daniel Cole, Michael Nugent, and Kirk Pruhs. 2012. Energy efficient caching for phase-change memory. In Mediterranean Conference on Design and Analysis of Algorithms (MedAlg’12). Springer-Verlag, Berlin, Heidelberg, 67--81.
[14]
Ferdinando Bedeschi, Rich Fackenthal, Claudio Resta, Enzo Michele Donze, Meenatchi Jagasivamani, Egidio Cassiodoro Buda, Fabio Pellizzer, David W. Chow, Alessandro Cabrini, Giacomo Matteo Angelo Calvi, et al. 2009. A bipolar-selected phase change memory featuring multi-level cell storage. IEEE Journal of Solid-State Circuits 44, 1 (Jan 2009), 217--227.
[15]
Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Parallel Architectures and Compilation Techniques. 72--81.
[16]
Ramazan Bitirgen, Engin Ipek, and Jose F. Martinez. 2008. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. In International Symposium on Microarchitecture (MICRO’08). IEEE Computer Society, Washington, DC, 318--329.
[17]
Geoffrey W. Burr, Matthew J. Breitwisch, Michele Franceschini, Davide Garetto, Kailash Gopalakrishnan, Bryan Jackson, Bülent Kurdi, Chung Lam, Luis A. Lastras, Alvaro Padilla, et al. 2010. Phase change memory technology. Journal of Vacuum Science 8 Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena 28, 2 (2010), 223--262.
[18]
Di Chen, Hai Jin, Xiaofei Liao, Haikun Liu, Rentong Guo, and Dong Liu. 2017. MALRU: Miss-penalty aware LRU-based cache replacement for hybrid memory systems. In Design, Automation and Test in Europe. 1086--1091.
[19]
E. Chen, D. Apalkov, Z. Diao, A. Driskill-Smith, D. Druist, D. Lottis, V Nikitin, X. Tang, S. Watts, S. Wang, et al. 2010. Advances and future prospects of spin-transfer torque random access memory. IEEE Transactions on Magnetics 46, 6 (June 2010), 1873--1878.
[20]
Derek Chiou, Prabhat Jain, Srinivas Devadas, and Larry Rudolph. 2000. Dynamic cache partitioning via columnization. In Proceedings of Design Automation Conference.
[21]
Sangyeun Cho and Hyunjin Lee. 2009. Flip-N-write: A simple deterministic technique to improve PRAM write performance, energy and endurance. In International Symposium on Microarchitecture. 347--357.
[22]
Henry Cook, Miquel Moreto, Sarah Bird, Khanh Dao, David A. Patterson, and Krste Asanovic. 2013. A hardware evaluation of cache partitioning to improve utilization and energy-efficiency while preserving responsiveness. In International Symposium on Computer Architecture (ISCA’13). ACM, New York, NY, 308--319.
[23]
Mark E. Crovella and Azer Bestavros. 1997. Self-similarity in world wide web traffic: Evidence and possible causes. IEEE/ACM Transactions on Networking 5, 6 (Dec. 1997), 835--846.
[24]
Socrates Demetriades, Michel Hanna, Sangyeun Cho, and Rami Melhem. 2008. An efficient hardware-based multi-hash scheme for high speed IP lookup. In Symposium on High Performance Interconnects. 103--110.
[25]
Haakon Dybdahl and Per Stenstrom. 2007. An adaptive shared/private NUCA cache partitioning scheme for chip multiprocessors. In High-Performance Computer Architecture. 2--12.
[26]
Pouya Esmaili-Dokht, Mohammad Bakhshalipour, Behnam Khodabandeloo, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2018. Scale-out processors and energy efficiency. arXiv preprint arXiv:1808.04864 (2018).
[27]
Michael Ferdman, Pejman Lotfi-Kamran, Ken Balet, and Babak Falsafi. 2011. Cuckoo directory: A scalable directory for many-core systems. In High-Performance Computer Architecture. 169--180.
[28]
Alexandre P. Ferreira, Miao Zhou, Santiago Bock, Bruce Childers, Rami Melhem, and Daniel Mossé. 2010. Increasing PCM main memory lifetime. In Design, Automation and Test in Europe (DATE’10). European Design and Automation Association, Belgium, 914--919. http://dl.acm.org/citation.cfm?id=1870926.1871147
[29]
Dimitris Fotakis, Rasmus Pagh, Peter Sanders, and Paul G. Spirakis. 2003. Space efficient hash tables with worst case constant access time. In Theoretical Aspects of Computer Science (STACS’03). Springer-Verlag, Berlin, Heidelberg, 271--282. http://dl.acm.org/citation.cfm?id=646517.696322
[30]
Seyed Armin Vakil Ghahani, Sara Mahdizadeh Shahri, Mohammad Bakhshalipour, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2018. Making Belady-inspired replacement policies more effective using expected hit count. arXiv preprint arXiv:1808.05024 (2018).
[31]
Erik E. Hagersten and Mark D. Hill. {n.d.} Skewed Finite Hashing Function. US Patent 6,308,246.
[32]
Mor Harchol-Balter and Allen B. Downey. 1996. Exploiting process lifetime distributions for dynamic load balancing. In SIGMETRICS International Conference on Measurement and Modeling of Computer Systems (SIGMETRICS’96). ACM, New York, NY, 13--24.
[33]
John L. Henning. 2006. SPEC CPU2006 benchmark descriptions. SIGARCH Computer Architecture News 34, 4 (Sept. 2006), 1--17.
[34]
Sungjoo Hong. 2010. Memory technology trend and future challenges. In International Electron Devices Meeting. 12.4.1--12.4.4.
[35]
Morteza Hoseinzadeh, Mohammad Arjomand, and Hamid Sarbazi-Azad. 2014. Reducing access latency of MLC PCMs through line striping. In International Symposium on Computer Architecture. 277--288.
[36]
Jaehyuk Huh, Doug Burger, and Stephen W. Keckler. 2001. Exploring the design space of future CMPs. In Parallel Architectures and Compilation Techniques (PACT’01). IEEE Computer Society, Washington, DC, 199--210. http://dl.acm.org/citation.cfm?id=645988.674164
[37]
Majid Jalili, Mohammad Arjomand, and Hamid Sarbazi Azad. 2014. A reliable 3D MLC PCM architecture with resistance drift predictor. In Dependable Systems and Networks (DSN’14). IEEE Computer Society, Washington, DC, 204--215.
[38]
Majid Jalili and Hamid Sarbazi-Azad. 2016. Captopril: Reducing the pressure of bit flips on hot locations in non-volatile main memories. In Design, Automation and Test in Europe. 1116--1119.
[39]
Majid Jalili and Hamid Sarbazi-Azad. 2016. Tolerating more hard errors in MLC PCMs using compression. In International Conference on Computer Design. 304--311.
[40]
Yongsoo Joo, Dimin Niu, Xiangyu Dong, Guangyu Sun, Naehyuck Chang, and Yuan Xie. 2010. Energy- and endurance-aware design of phase change memory caches. In Design, Automation and Test in Europe (DATE’10). European Design and Automation Association, Belgium, 136--141. http://dl.acm.org/citation.cfm?id=1870926.1870961
[41]
Samira Khan, Chris Wilkerson, Zhe Wang, Alaa R. Alameldeen, Donghyuk Lee, and Onur Mutlu. 2017. Detecting and mitigating data-dependent DRAM failures by exploiting current memory content. In International Symposium on Microarchitecture (MICRO-50’17). ACM, New York, NY, 27--40.
[42]
Kinam Kim. 2008. Future memory technology: Challenges and opportunities. In VLSI Technology. 5--9.
[43]
B. Klug and A. L. Shimpi. {n.d.} Qualcomm’s New Snapdragon S4. Retrieved December 7, 2018 from http://www.anandtech.com/show/4940/qualcomm-new-snapdragon-s4-msm8960-krait-architecture.
[44]
Emre Kültürsay, Mahmut Kandemir, Anand Sivasubramaniam, and Onur Mutlu. 2013. Evaluating STT-RAM as an energy-efficient main memory alternative. In International Symposium on Performance Analysis of Systems and Software. 256--267.
[45]
Benjamin C. Lee, Engin Ipek, Onur Mutlu, and Doug Burger. 2009. Architecting phase change memory as a scalable DRAM alternative. In International Symposium on Computer Architecture (ISCA’09). ACM, New York, NY, 2--13.
[46]
Benjamin C. Lee, Ping Zhou, Jun Yang, Youtao Zhang, Bo Zhao, Engin Ipek, Onur Mutlu, and Doug Burger. 2010. Phase-change technology and the future of main memory. IEEE Micro 30, 1 (2010), 60--70.
[47]
Hyung Gyu Lee, Seungcheol Baek, Chrysostomos Nicopoulos, and Jongman Kim. 2011. An energy- and performance-aware DRAM cache architecture for hybrid DRAM/PCM main memory systems. In International Conference on Computer Design (ICCD’11). IEEE Computer Society, Washington, DC, 381--387.
[48]
Charles Lefurgy, Karthick Rajamani, Freeman Rawson, Wes Felter, Michael Kistler, and Tom W. Keller. 2003. Energy management for commercial servers. IEEE Computer 36, 12 (Dec. 2003), 39--48.
[49]
Pejman Lotfi-Kamran, Boris Grot, Michael Ferdman, Stavros Volos, Onur Kocberber, Javier Picorel, Almutaz Adileh, Djordje Jevdjic, Sachin Idgunji, Emre Ozer, and Babak Falsafi. 2012. Scale-out processors. In International Symposium on Computer Architecture.
[50]
Jack A. Mandelman, Robert H. Dennard, Gary B. Bronner, John K. DeBrosse, Rama Divakaruni, Yujun Li, and Carl J. Radens. 2002. Challenges and future directions for the scaling of dynamic random-access memory (DRAM). IBM Journal of Research and Development 46, 2--3 (March 2002), 187--212.
[51]
Jagan Singh Meena, Simon Min Sze, Umesh Chand, and Tseung-Yuen Tseng. 2014. Overview of emerging nonvolatile memory technologies. Nanoscale Research Letters 9, 1 (Sep. 2014), 526.
[52]
David Meisner, Brian T. Gold, and Thomas F. Wenisch. 2009. PowerNap: Eliminating server idle power. In Architectural Support for Programming Languages and Operating Systems (ASPLOS-XIV’09). ACM, New York, NY, USA, 205--216.
[53]
Amirhossein Mirhosseini, Aditya Agrawal, and Josep Torrellas. 2017. Survive: Pointer-based in-DRAM incremental checkpointing for low-cost data persistence and rollback-recovery. IEEE Computer Architecture Letters 16, 2 (July 2017), 153--157.
[54]
Michael Mitzenmacher. 2009. Some open questions related to cuckoo hashing. European Symposium on Algorithms, Lecture Notes in Computer Science, Vol. 5757, Springer, Berlin, 1--10.
[55]
Naveen Muralimanohar, Rajeev Balasubramonian, and Norm Jouppi. 2007. Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In International Symposium on Microarchitecture.
[56]
Rasmus Pagh and Flemming Friche Rodler. 2004. Cuckoo hashing. Journal of Algorithms 51, 2 (May 2004), 122--144.
[57]
Abhisek Pan and Vijay S. Pai. 2013. Imbalanced cache partitioning for balanced data-parallel programs. In International Symposium on Microarchitecture (MICRO-46’13). ACM, New York, NY, 297--309.
[58]
Rina Panigrahy. 2005. Efficient hashing with lookups in two memory accesses. In Symposium on Discrete Algorithms (SODA’05). Society for Industrial and Applied Mathematics, Philadelphia, PA, 830--839. http://dl.acm.org/citation.cfm?id=1070432.1070549
[59]
Moinuddin K. Qureshi, Michele M. Franceschini, Luis A. Lastras-Montaño, and John P. Karidis. 2010. Morphable memory system: A robust architecture for exploiting multi-level phase change memories. In International Symposium on Computer Architecture (ISCA’10). ACM, New York, NY, 153--162.
[60]
Moinuddin K. Qureshi, John Karidis, Michele Franceschini, Vijayalakshmi Srinivasan, Luis Lastras, and Bulent Abali. 2009. Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. In International Symposium on Microarchitecture (MICRO-42’09). ACM, New York, NY, 14--23.
[61]
Moinuddin K. Qureshi, Vijayalakshmi Srinivasan, and Jude A. Rivers. 2009. Scalable high performance main memory system using phase-change memory technology. In International Symposium on Computer Architecture (ISCA’09). ACM, New York, NY, 24--33.
[62]
Simone Raoux, Geoffrey W. Burr, Matthew J. Breitwisch, Charles T. Rettner, Y.-C. Chen, Robert M. Shelby, Martin Salinga, Daniel Krebs, S.-H. Chen, H.-L. Lung, et al. 2008. Phase-change random access memory: A scalable technology. IBM Journal of Research and Development (2008).
[63]
Saeed Rashidi, Majid Jalili, and Hamid Sarbazi-Azad. 2018. Improving MLC PCM performance through relaxed write and read for intermediate resistance levels. ACM Transactions on Architecture and Code Optimization 15, 1, Article 12 (March 2018), 31 pages.
[64]
Brian M. Rogers, Anil Krishna, Gordon B. Bell, Ken Vu, Xiaowei Jiang, and Yan Solihin. 2009. Scaling the bandwidth wall: Challenges in and avenues for CMP scaling. In International Symposium on Computer Architecture (ISCA’09). ACM, New York, NY, 371--382.
[65]
Paul Rosenfeld, Elliott Cooper-Balis, and Bruce Jacob. 2011. DRAMSim2: A cycle accurate memory system simulator. IEEE Computer Architecture Letters 10, 1 (Jan. 2011), 16--19.
[66]
Daniel Sanchez and Christos Kozyrakis. 2010. The ZCache: Decoupling ways and associativity. In International Symposium on Microarchitecture. 187--198.
[67]
Daniel Sanchez and Christos Kozyrakis. 2011. Vantage: Scalable and efficient fine-grain cache partitioning. In Proceedings of International Symposium on Computer Architecture. 57--68.
[68]
Daniel Sanchez and Christos Kozyrakis. 2012. SCD: A scalable coherence directory with flexible sharer set encoding. In High-Performance Computer Architecture (HPCA’12). IEEE Computer Society, Washington, DC, 1--12.
[69]
Daniel Sanchez, Luke Yen, Mark D. Hill, and Karthikeyan Sankaralingam. 2007. Implementing signatures for transactional memory. In International Symposium on Microarchitecture (MICRO-40’07). IEEE Computer Society, Washington, DC, 123--133.
[70]
Bianca Schroeder and Mor Harchol-Balter. 2004. Evaluation of task assignment policies for supercomputing servers: The case for load unbalancing and fairness. Cluster Computing 7, 2 (April 2004), 151--161.
[71]
Giorgio Servalli. 2009. A 45nm generation phase change memory technology. In International Electron Devices Meeting. 1--4.
[72]
André Seznec. 1993. A case for two-way skewed-associative caches. In International Symposium on Computer Architecture (ISCA’93). ACM, New York, NY, 169--178.
[73]
Zili Shao and Yuan-Hao Chang. 2016. Non-volatile memory (NVM) technologies. Journal of Systems Architecture 71, C (Nov. 2016), 1--.
[74]
Mathias Spjuth, Martin Karlsson, and Erik Hagersten. 2005. Skewed caches from a low-power perspective. In Conference on Computing Frontiers (CF’05). ACM, New York, NY, 152--160.
[75]
Shekhar Srikantaiah, Mahmut Kandemir, and Qian Wang. 2009. SHARP control: Controlled shared cache management in chip multiprocessors. In International Symposium on Microarchitecture. 517--528.
[76]
Mircea R. Stan and Wayne P. Burleson. 1995. Bus-invert coding for low-power I/O. IEEE Transactions on Very Large Scale Integration 3, 1 (March 1995), 49--58.
[77]
Karthik T. Sundararajan, Vasileios Porpodas, Timothy M. Jones, Nigel P. Topham, and Björn Franke. 2012. Cooperative partitioning: Energy-efficient cache partitioning for high-performance CMPs. In High-Performance Computer Architecture (HPCA’12). IEEE Computer Society, Washington, DC, 1--12.
[78]
Armin Vakil-Ghahani, Sara Mahdizadeh-Shahri, Mohammad-Reza Lotfi-Namin, Mohammad Bakhshalipour, Pejman Lotfi-Kamran, and Hamid Sarbazi-Azad. 2018. Cache replacement policy based on expected hit count. IEEE Computer Architecture Letters 17, 1 (Jan. 2018), 64--67.
[79]
Zhe Wang, Shuchang Shan, Ting Cao, Junli Gu, Yi Xu, Shuai Mu, Yuan Xie, and Daniel A. Jiménez. 2013. WADE: Writeback-aware dynamic cache management for NVM-based main memory system. ACM Transactions on Architecture and Code Optimization 10, 4, Article 51 (Dec. 2013), 21 pages.
[80]
Wei Wei, Dejun Jiang, Jin Xiong, and Mingyu Chen. 2017. HAP: Hybrid-memory-aware partition in shared last-level cache. ACM Transactions on Architecture and Code Optimization 14, 3, Article 24 (Sept. 2017), 25 pages.
[81]
Yuejian Xie and Gabriel H. Loh. 2009. PIPP: Promotion/insertion pseudo-partitioning of multi-core shared caches. In International Symposium on Computer Architecture (ISCA’09). ACM, New York, NY, 174--183.
[82]
Deshan Zhang, Lei Ju, Mengying Zhao, Xiang Gao, and Zhiping Jia. 2016. Write-back aware shared last-level cache management for hybrid main memory. In Design Automation Conference (DAC’16). ACM, New York, NY, Article 172, 6 pages.
[83]
Lunkai Zhang, Brian Neely, Diana Franklin, Dmitri Strukov, Yuan Xie, and Frederic T. Chong. 2016. Mellow Writes: Extending lifetime in resistive memories through selective slow write backs. In International Symposium on Computer Architecture (ISCA’16). IEEE Press, Piscataway, NJ, 519--531.
[84]
Miao Zhou, Yu Du, Bruce Childers, Rami Melhem, and Daniel Mossé. 2012. Writeback-aware partitioning and replacement for last-level caches in phase change main memory systems. ACM Transactions on Architecture and Code Optimization 8, 4, Article 53 (Jan. 2012), 21 pages.
[85]
Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang. 2009. A durable and energy efficient main memory using phase change memory technology. In International Symposium on Computer Architecture (ISCA’09). ACM, New York, NY, 14--23.

Cited By

View all
  • (2024)MORSE: Memory Overwrite Time Guided Soft Writes to Improve ReRAM Energy and EnduranceProceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques10.1145/3656019.3676890(26-39)Online publication date: 14-Oct-2024
  • (2022)AthenaProceedings of the International Conference on Parallel Architectures and Compilation Techniques10.1145/3559009.3569684(359-371)Online publication date: 8-Oct-2022
  • (2022) PROWL: A Cache Replacement P olicy fo r C o nsistency A w are Renewab l e Powered Devices IEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.303111410:1(476-487)Online publication date: 1-Jan-2022
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 24, Issue 2
March 2019
287 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/3306156
  • Editor:
  • Naehyuck Chang
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 10 January 2019
Accepted: 01 October 2018
Revised: 01 September 2018
Received: 01 June 2018
Published in TODAES Volume 24, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Non-volatile memory
  2. cache management
  3. phase change memory
  4. read-write disparity
  5. writeback

Qualifiers

  • Research-article
  • Research
  • Refereed

Funding Sources

  • Iran National Science Foundation (INSF)

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)36
  • Downloads (Last 6 weeks)10
Reflects downloads up to 14 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2024)MORSE: Memory Overwrite Time Guided Soft Writes to Improve ReRAM Energy and EnduranceProceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques10.1145/3656019.3676890(26-39)Online publication date: 14-Oct-2024
  • (2022)AthenaProceedings of the International Conference on Parallel Architectures and Compilation Techniques10.1145/3559009.3569684(359-371)Online publication date: 8-Oct-2022
  • (2022) PROWL: A Cache Replacement P olicy fo r C o nsistency A w are Renewab l e Powered Devices IEEE Transactions on Emerging Topics in Computing10.1109/TETC.2020.303111410:1(476-487)Online publication date: 1-Jan-2022
  • (2020)DSMProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/33921514:2(1-26)Online publication date: 12-Jun-2020
  • (2020)BOW: Breathing Operand Windows to Exploit Bypassing in GPUs2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO50266.2020.00084(996-1008)Online publication date: Oct-2020
  • (2020)(Almost) Fence-less Persist Ordering2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO50266.2020.00052(539-554)Online publication date: Oct-2020
  • (2020)Harnessing Pairwise-Correlating Data Prefetching With Runahead MetadataIEEE Computer Architecture Letters10.1109/LCA.2020.301934319:2(130-133)Online publication date: 1-Jul-2020
  • (2019)A Survey on PCM Lifetime Enhancement SchemesACM Computing Surveys10.1145/333225752:4(1-38)Online publication date: 30-Aug-2019
  • (2019)Evaluation of Hardware Data Prefetchers on Server ProcessorsACM Computing Surveys10.1145/331274052:3(1-29)Online publication date: 18-Jun-2019
  • (2019)CORFProceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3297858.3304026(701-714)Online publication date: 4-Apr-2019
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

HTML Format

View this article in HTML Format.

HTML Format

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media