Nothing Special   »   [go: up one dir, main page]

skip to main content
article

Hierarchical circuit-switched NoC for multicore video processing

Published: 01 March 2011 Publication History

Abstract

Today's prevailing video systems demand extreme performance that can be efficiently supported by parallel computing engines. This paper presents a novel hierarchical circuit-switched ring network on chip (called HrNoC) for the parallel engines, of which the cost, power, and latency have been extensively optimized from bottom up. First, a communication scheme ''wave'' is proposed for both intra-ring and inter-ring routing-paths built with rapid stream transactions. Then, a cost-effective bridge featuring deterministic packet traversal and deadlock avoidance is designed for flexible inter-ring connections. Finally, varied configurations of hierarchical rings are exploited by system specification and application mapping. In experiments, the proposed HrNoC on a 16-core multicore system performs about 50% latency reduction, 1/3 area cost, and 1/5 power consumption, compared with a packet-switched mesh NoC.

References

[1]
Bjerregaard, T. and Mahadevan, S., A survey of research and practices of network-on-chip. ACM Comput Surv (CSUR). v38 i1. 1
[2]
Pasricha, S. and Dutt, N., On-Chip Communication Architectures: System on Chip Interconnect. 2008. Systems on Silicon Series, Morgan Kaufmann.
[3]
S. Borkar, Thousand core chips: a technology perspective, in: Proceedings of the 44th annual Design Automation Conference, 2007.
[4]
Chang, K.-C., Shen, J.-S. and Chen, T.-F., Tailoring circuit-switched network-on-chip to application-specific system-on-chip by two optimization schemes. ACM Trans. Des. Autom. Electron. Syst. v13 i1. 1-31.
[5]
P.T. Wolkotte, G.J.M. Smit, G.K. Rauwerda, L.T. Smit, An energy-efficient reconfigurable circuit-switched network-on-chip, in: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium.
[6]
I. Urzí, C. Bonnet, P. D'Audigier, O. Sauvage, An HDTV SoC based on a mixed circuit-switched/NoC interconnect architecture (STBus/VSTNoC), in: Proceedings IP-based Electr. Syst., 2007.
[7]
A. Prengler, K. Adi, A Reconfigurable SIMD-MIMD Processor Architecture for Embedded Vision Processing Applications, 2008.
[8]
C. Marcon, N. Calazans, F. Moraes, A. Susin, I. Reis, F. Hessel, Exploring NoC mapping strategies: an energy and timing aware technique, in: Proceedings of the conference on Design, Automation and Test in Europe, vol. 1, 2005.
[9]
Kwak, J.W., Ban, H.J. and Jhon, C.S., Torus Ring: Improving interconnection network performance by modifying hierarchical ring. IEICE - Trans. Inf. Syst. vE88-D i5. 1067-1071.
[10]
S. Bourduas, Z. Zilic, A hybrid ring/mesh interconnect for network-on-chip using hierarchical rings for global routing, in: Proceedings of the First International Symposium on Networks-on-Chip, 2007.
[11]
G. Ravindran, M. Stumm, A performance comparison of hierarchical ring- and mesh- connected multiprocessor networks, in: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture, 1997.
[12]
S.J. Lee, S.J. Song, K. Lee, J.H. Woo, S.E. Kim, B.G. Nam, H.J. Yoo, An 800MHz star-connected on-chip network for application to systems on a chip, ISSCC 2003, February 2003.
[13]
Hoskote, Y., Vangal, S., Singh, A., Borkar, N. and Borkar, S., A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro. v27 i5. 51-61.
[14]
S. Borkar, Networks for Multi-Core Chips: A Contrarian View. Special Session at ISLPED, 2007.
[15]
S.H. Chou, C.C. Chen, C.N. Wen, Y.C. Chan, T.F. Chen, C.C. Wang, J.S. Wang, No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips, in: Proceedings of the 46th Annual Design Automation Conference, 2009.
[16]
Ku, W.C., Chou, S.H., Chu, J.C., Liu, C.L., Chen, T.F., Guo, J.I. and Wang, J.S., VisoMT: a collaborative multithreading multicore processor for multimedia applications with a fast data switching mechanism. IEEE Trans. Circuit. Syst. Video Technol. v19 i11. 1633-1645.
[17]
Kistler, M., Perrone, M. and Petrini, F., Cell multiprocessor communication network: built for speed. IEEE Micro. v26 i3. 10-23.
[18]
Lu, R., Cao, A., Koh, C.-K. and A-bus, S.A.M.B., A high performance bus architecture for system-on-chips. IEEE Trans. Very Large Scale Integr. Syst. v15 i1. 69-79.
[19]
H. Samuelsson, S. Kumar, Ring road NoC architecture, in: Proceeding of Norchip Conference, 2004.
[20]
D. Siguenza-Tortosa, J. Nurmi, Proteo: a new approach to network-on-chip, in: Proceedings of IASTED International Conference, 2002.
[21]
R. Holsmark, et al., HiRA: a methodology for deadlock free routing in hierarchical networks on chip, in: Proceedings of the 3rd ACM/IEEE International Symposium on Networks-on-Chip, 2009.
[22]
S. Bourduas, B. Kuo, Z. Zilic, N. Manjikian. Modeling and evaluation of an energy-efficient hierarchical ring interconnect for system-on-chip multiprocessors. In NEWCAS, 2006.
[23]
B.M. Beckmann, D.A. Wood, TLC: transmission line caches, in: Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003.
[24]
Chen, J.Y., Segmented bus design for low-power systems. IEEE Trans. Very Large Scale Integr. Syst. v7 i1. 25-29.
[25]
Sakuma, K., 3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections. IBM J. Res. Dev. v52 i6. 611-622.
[26]
Chang, R., Near speed-of-light signaling over on-chip electrical interconnects. IEEE J. Solid-State Circuit. v38 i5. 834-838.
[27]
A. Papanikolaou, et al. Architectural and physical design optimizations for efficient intra-tile communication, in: Proceedings of International Symposium on System-on-Chip, 2005.
[28]
Loh, G.H., Xie, Y. and Black, B., Processor design in 3D die-stacking technologies. IEEE Micro. v27 i3. 31-48.
[29]
F. Li, et al., Design and management of 3D chip multiprocessors using network-in-memory, in: Proceedings of the 33rd Annual International Symposium on Computer Architecture, 2006.
[30]
R. Mullins, A. West, S. Moore, Low-latency virtual-channel routers for on-chip networks, in: Proceedings of the 31st Annual International Symposium on Computer Architecture, 2004.
[31]
J. Kim, et al., A low latency router supporting adaptivity for on-chip interconnects, in: Proceedings of the 42nd Annual Design Automation Conference, 2005.
[32]
R. Mullins, A. West, S. Moore, The design and implementation of a low-latency on-chip network, in: Proceedings of the Asia and South Pacific Design Automation Conference, 2006.
[33]
L. Hagen, A.B. Kahng, A new approach to effective circuit clustering, in: Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992.
[34]
A.T. Nguyen, et al., The Augmint multiprocessor simulation toolkit for Intel x86 architectures, in: Proceedings of the International Conference on Computer Design, VLSI in Computers and Processors, 1996.
[35]
ARM Cortex-A8, <http://www.arm.com/products/processors/cortex-a/cortex-a8.php>.

Cited By

View all
  • (2019)Optical Versus Electrical: Performance Evaluation of Network On-Chip Topologies for UWASN Manycore ProcessorsWireless Personal Communications: An International Journal10.1007/s11277-019-06630-5116:2(963-991)Online publication date: 1-Aug-2019
  • (2013)Hierarchical and multiple switching NoC with floorplan based adaptabilityProceedings of the 9th international conference on Reconfigurable Computing: architectures, tools, and applications10.1007/978-3-642-36812-7_17(179-184)Online publication date: 25-Mar-2013

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image Microprocessors &amp; Microsystems
Microprocessors & Microsystems  Volume 35, Issue 2
March, 2011
215 pages

Publisher

Elsevier Science Publishers B. V.

Netherlands

Publication History

Published: 01 March 2011

Author Tags

  1. Application mapping
  2. Circuit-switching
  3. Hierarchical architecture
  4. Network clustering
  5. Network on chip
  6. Packet-switching
  7. Ring interconnections
  8. Video processing

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 16 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2019)Optical Versus Electrical: Performance Evaluation of Network On-Chip Topologies for UWASN Manycore ProcessorsWireless Personal Communications: An International Journal10.1007/s11277-019-06630-5116:2(963-991)Online publication date: 1-Aug-2019
  • (2013)Hierarchical and multiple switching NoC with floorplan based adaptabilityProceedings of the 9th international conference on Reconfigurable Computing: architectures, tools, and applications10.1007/978-3-642-36812-7_17(179-184)Online publication date: 25-Mar-2013

View Options

View options

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media