Nothing Special   »   [go: up one dir, main page]

skip to main content
article

Optimal transistor sizing for maximum yield in variation-aware standard cell design

Published: 01 July 2016 Publication History

Abstract

Process variability, in addition to wide temperature and supply voltage variation ranges, severely degrades the fabrication outcome yield of digital cells as for the fulfillment of performance specification bounds. This paper presents the application of mathematical optimization to the design of standard cells that are robust to process variations even in worst-case operating conditions. The method attains the optimal sizing of individual transistors in the cell for maximizing the statistical yield referring to leakage power and propagation delay bounds, with local and global process variations specified by industrial process development kits PDKs. The approach is demonstrated for a 40nm low-power standard threshold voltage Complementary Metal Oxide Semiconductor CMOS technology, for an intended operating temperature range [-40ï źC, 125ï źC] and supply voltage range [0.95V, 1.05V]. The reported optimization results show a yield improvement from an initial 50% to 99.9%, and Simulation Program with Integrated Circuit Emphasis SPICE-level Monte Carlo analysis confirmed the estimated yield of the obtained circuits. Copyright © 2015 John Wiley & Sons, Ltd.

References

[1]
Bhunia S, Mukhopadhya S. Low Power Variation-Tolerant Design in Nanometer Silicon. Springer: New York, 2011.
[2]
International Technology Roadmap for Semiconductors. International SEMATECH: Austin, TX, 2013. Available from: "http://www.itrs.net/Links/2013/ITRS/Home2013.htm" {Accessed on 2 January 2014}.
[3]
Kenyon C, Kornfeld A, Kuhn K, Liu M, Maheshwari A, Shih W, Sivakumar S, Taylor G, VanDerVoorn P, Zawadzki K. Managing process variation in Intel's 45nm CMOS technology. Intel Technology Journal 2008; Volume 12 Issue 2: pp.93-110.
[4]
McConaghy T, Dyck KB, Gupta A. Variation-Aware Design of Custom Integrated Circuits: A Hands-On Field Guide. Springer: New York, 2013.
[5]
Lin C-H, Dunga MV, Lu DD, Niknejad AM, Hu C. Performance-aware corner model for design for manufacturing. IEEE Transactions on Electron Devices 2009; Volume 56: pp.595-600.
[6]
Beg A. Automating the sizing of transistors in CMOS gates for low-power and high noise margin operation. International Journal of Circuit Theory and Applications 2014.
[7]
Graeb H, Mueller-Gritschneder D, Schlichtmann U. Pareto optimization of analog circuits considering variability. International Journal of Circuit Theory and Applications 2008; Volume 37: pp.283-299.
[8]
Abbas Z, Olivieri M, Yakupov M, Ripp A. Design centering/yield optimization of power aware band pass filter based on CMOS current controlled current conveyor CCCII+. Microelectronics Journal 2013; Volume 44 Issue 4: pp.321-331.
[9]
Abbas Z, Yakupov M, Olivieri M, Ripp A, Strobe G. Yield optimization for low power current controlled current conveyor. Proceedings of 25th Symposium on Integrated Circuits and Systems Design SBCCI , 2012; pp.1-6.
[10]
Brusamarello L, Wirth GI, Roussel P, Miranda M. Fast and accurate statistical characterization of standard cell libraries. Microelectronics Reliability 2011; Volume 51: pp.2341-2350.
[11]
Abbas Z, Khalid U, Olivieri M. Sizing and optimization of low power process variation aware standard cells. IEEE International Integrated Reliability Workshop Final Report IIRW, South Lake Tohoe, CA, USA, 2013: pp.181-184.
[12]
"http://www.muneda.com/Products_Statistical-Circuit-&-Yield-Optimization". Online
[13]
Gupta H, Ghosh B. Transistor size optimization in digital circuits using ant colony optimization for continuous domain. International Journal of Circuit Theory and Applications 2012; Volume 42: pp.642-658.
[14]
Sobe U, Rooch K-H, Ripp A, Pronath M. Robust analog design for automotive applications by design centering with safe operating areas in. IEEE Transaction on Semiconductor Manufacturing 2009; Volume 22 Issue 2: pp.217-224.
[15]
Chiang CC, Kawa J. Design for Manufacturability and Yield for Nano-Scale CMOS. Springer: Dordrecht, The Netherlands, 2007.
[16]
Olivieri M, Scotti G, Trifiletti A. A novel yield optimization technique for digital CMOS circuits design by means of process parameters run-time estimation and body bias active control. IEEE Transactions on Very Large Scale Integration VLSI Systems 2005; Volume 13 Issue 5: pp.630-638.
[17]
Srivastava A, Shah S, Agarwal K, Sylvester D, Blaauw D, Director S. Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance. Proceedings of Design Automation Conference, 2005; pp.535-540.
[18]
Abbas Z, Mastrandrea A, Olivieri M. A voltage-based leakage current calculation scheme and its application to nanoscale MOSFET and FinFET standard-cell designs. IEEE Transactions on Very Large Scale Integration VLSI Systems 2014; Volume 22 Issue 12: pp.2549-2560.
[19]
Antreich KJ, Koblitz RK. Design centering by yield prediction. IEEE Transactions on Circuits and Systems 1982; Volume 2: pp.88-96.
[20]
Antreich KJ, Graeb HE. Circuit optimization driven by worst-case distances. In The Best of ICCAD - 20 Years of Excellence in Computer-Aided Design. Kluwer Academic Publishers: New York, 2003; pp.585-595.
[21]
Schenkel F, Pronath M, Zizala S, Schwencker R, Graeb H, Antreich K. Mismatch analysis and direct yield optimization by spec-wise linearization and feasibility-guided search. ACM/IEEE Design Automation Conference DAC 2001; pp.858-863.
[22]
Stehr G, Pronath M, Schenkel F, Graeb H, Antreich K. Initial sizing of analog integrated circuits by centering within topology-given implicit specification. IEEE/ACM International Conference on Computer-Aided Design ICCAD, San Jose, CA, USA, 2003; pp.241-246.
[23]
Najm F, Menezes N, Ferzli IA. A yield model for integrated circuits and its application to statistical timing analysis. IEEE Transactions on Computer-Aided Design 2007; Volume 26 Issue 3: pp.574-591.
[24]
Sengupta M, Saxena S, Daldoss L, Kramer G, Minehane S, Cheng J. Application-specific worst case corners using response surfaces and statistical models. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2005; Volume 24: pp.1372.
[25]
Srivastava A, Sylvester D, Blaauw D. Statistical Analysis and Optimization for VLSI: Timing and Power. Springer: New York, 2005.
[26]
Alioto M, Palumbo G, Pennisi M. Understanding the effect of process variations on the delay of static and domino logic. IEEE Transactions on Very Large Scale Integration VLSI Systems 2010; Volume 18 Issue 5: pp.697-710.
[27]
Jacob E, Berkelaar MR. Gate sizing using a statistical delay model. In Proceedings of Design, Automation, and Test in Europe , Paris, 2000; pp.283-290.
[28]
Chopra K, Shah S, Srivastava A, Blaauw D, Sylvester D. Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design , San Jose, CA, 2005; pp.1023-1028.
[29]
Choi SH, Paul BC, Roy K. Novel sizing algorithm for yield improvement under process variation in nanometer technology. In Proceedings of the ACM/IEEE Design Automation Conference , San Diego, CA, 2004; pp.454-459.
[30]
Agarwal A, Chopra K, Blaauw D, Zolotov V, Circuit optimization using statistical static timing analysis. In Proceedings of the ACM/IEEE Design Automation Conference , Anaheim, CA, 2005; pp.338-342.
[31]
Singh J, Sapatnekar SS. A scalable statistical static timing analyzer incorporating correlated non-Gaussian and Gaussian parameter variations. IEEE Transactions on Computer Aided Design and Integrated Circuit Systems 2008; Volume 27 Issue 1: pp.160-173.
[32]
Srivastava A, Sylvester D, Blaauw D. Statistical optimization of leakage power consider process variations using dual-Vth and sizing. In Proceedings of the ACM/IEEE Design Automation Conference , San Diego, CA, 2004; pp.773-778.
[33]
Srivastava A, Sylvester D, Blaauw D. Power minimization using simultaneous gate sizing, dual Vdd and dual Vth assignment. In Proceedings of the ACM/IEEE Design Automation Conference , San Diego, CA, 2004; pp.783-787.
[34]
Mani M, Devgan A, Orshansky M. An efficient algorithm for statistical power under timing yield constraints. In Proceedings of the ACM/IEEE Design Automation Conference , Anaheim, CA, 2005; pp.309-314.
[35]
Davoodi A, Srivastava A. Variability driven gate sizing for binning yield optimization. In Proceedings of the ACM/IEEE Design Automation Conference , San Francisco, CA, 2006; pp.956-964.
[36]
Sinha D, Shenoy NV, Zhou H. Statistical gate sizing for timing yield optimization. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design , San Jose, CA, 2005; pp.1037-1042.
[37]
Singh J, Nookala V, Luo T, Sapatnekar S. Robust gate sizing by geometric programming. In Proceedings of the ACM/IEEE Design Automation Conference , Anaheim, CA, 2005; pp.315-320.

Cited By

View all
  • (2024)Variable Conversion Approach for Design Optimization of Low-Voltage Low-Pass FiltersIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.333587732:2(205-218)Online publication date: 1-Feb-2024
  • (2018)NMLSimJournal of Computational Electronics10.1007/s10825-018-1215-817:3(1370-1381)Online publication date: 1-Sep-2018
  • (2016)Yield-driven power-delay-optimal CMOS full-adder design complying with automotive product specifications of PVT variations and NBTI degradationsJournal of Computational Electronics10.1007/s10825-016-0878-215:4(1424-1439)Online publication date: 1-Dec-2016
  1. Optimal transistor sizing for maximum yield in variation-aware standard cell design

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image International Journal of Circuit Theory and Applications
    International Journal of Circuit Theory and Applications  Volume 44, Issue 7
    July 2016
    131 pages
    ISSN:0098-9886
    EISSN:1097-007X
    Issue’s Table of Contents

    Publisher

    John Wiley and Sons Ltd.

    United Kingdom

    Publication History

    Published: 01 July 2016

    Author Tags

    1. CMOS
    2. Monte Carlo
    3. leakage
    4. standard cell
    5. statistical variation
    6. yield

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 22 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Variable Conversion Approach for Design Optimization of Low-Voltage Low-Pass FiltersIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.333587732:2(205-218)Online publication date: 1-Feb-2024
    • (2018)NMLSimJournal of Computational Electronics10.1007/s10825-018-1215-817:3(1370-1381)Online publication date: 1-Sep-2018
    • (2016)Yield-driven power-delay-optimal CMOS full-adder design complying with automotive product specifications of PVT variations and NBTI degradationsJournal of Computational Electronics10.1007/s10825-016-0878-215:4(1424-1439)Online publication date: 1-Dec-2016

    View Options

    View options

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media