Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/996566.996775acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Statistical optimization of leakage power considering process variations using dual-Vth and sizing

Published: 07 June 2004 Publication History

Abstract

Increasing levels of process variability in sub-100nm CMOS design has become a critical concern for performance and power constraint designs. In this paper, we propose a new statistically aware Dual-Vt and sizing optimization that considers both the variability in performance and leakage of a design. While extensive work has been performed in the past on statistical analysis methods, circuit optimization is still largely performed using deterministic methods. We show in this paper that deterministic optimization quickly looses effectiveness for stringent performance and leakage constraints in designs with significant variability. We then propose a statistically aware dual-Vt and sizing algorithm where both delay constraints and sensitivity computations are performed in a statistical manner. We demonstrate that using this statistically aware optimization, leakage power can be reduced by 15-35% compared to traditional deterministic analysis. The improvements increase for strict delay constraints making statistical optimization especially important for high performance designs.

References

[1]
S. Duvall, "Statistical Circuit Modeling and Optimization," Workshop on statistical metrology, pp.56--63, 2000.
[2]
S. Nassif, "Delay variability: sources, impacts and trends," Proc. ISSCC, pp. 368--369, 2000.
[3]
K. Bowman et al., "Impact of die-to-die and within-die Parameter Fluctuations on the Maximum Clock Frequency Distribution for Gigascale Integartion", IEEE J. Solid-State Circuits, pp.183--190, Feb. 2002.
[4]
M. Eisele, et al., "The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits," IEEE Transactions on VLSI Systems, Dec. 1997.
[5]
A. Agarwal, et al., "Computation and refinement of statistical bounds on circuit delay," Proc. DAC, pp.348--353, 2003.
[6]
J. Jess et al., "Statistical timing for parametric yield prediction of digital integrated circuits," Proc. DAC, pp.932--937, 2003.
[7]
M. Orshansky and K. Keutzer, "A general probabilistic framework for worst case timing analysis," Proc. DAC, pp.556--561, 2002.
[8]
R. Rao, et al., "Statistical estimation of leakage current considering inter and intra-die process variations", Proc. ISLPED, pp.84--49, 2003.
[9]
P. Feldmann and S. Director, "Accurate and efficient evaluation of Circuit yield and yield gradients", Proc. ICCAD, pp.120--123, 1990.
[10]
S. Director, et al., Optimization of Parametric yield: tutorial," Proc. CICC, 1992.
[11]
S. Borkar, et al., "Parameter variation and Impact on Circuits and Microarchitecture," Proc. DAC, pp.338-342, 2003.
[12]
S. Naffziger and T. Chen, "Comparison of adaptive body bias and adaptive supply voltage for improving delay and leakage under the presence of process variation," IEEE Tran. VLSI Systems, pp.888--899, Oct 03.
[13]
C. Neau and K. Roy, "Optimal body bias selection for leakage improvements and process compensation over different technology generations," Proc. ISLPED, pp.116--121, 2003.
[14]
X. Bai et al., "Uncertainty aware circuit Optimization," Proc. DAC, pp.58--63, 2002.
[15]
Ruchir Puri, personal communication.
[16]
S. Sirichotiyakul, et al., "Stand-by Power Minimization through Simultaneous Threshold Voltage Selection and Circuit Sizing," Proc. DAC, pp. 436--441, 1999.
[17]
P. Pant, R. Roy, and A. Chatterjee. "Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits," IEEE Transactions on VLSI Systems, pp.390--394, 2001.
[18]
L. Wei, K. Roy, and C. Koh, "Power minimization by simultaneous dual-Vth assignment and gate sizing," Proc. CICC, pp.413--416, 2000.
[19]
T. Karnik, et al., "Total power optimization by simultaneous dual-Vth allocation and device sizing in high performance microprocessors," Proc. DAC, pp.486--491, 2002.
[20]
Qi Wang and S. Vrudhula, "Static power optimization of deep submicron CMOS circuits for dual VT technology," Proc. ICCAD, pp.490--496, 1998.
[21]
M. Ketkar and S. S. Sapatnekar, "Standby Power Optimization via Transistor Sizing and Dual Threshold Voltage Assignment," Proc .ICCAD, pp.375--378, 2002.
[22]
T. Sakurai and A.R. Newton, "Alpha-power law MOSFET model and its application to CMOS inverter delay and other formulas," IEEE J. Solid-State Circuits, pp.584--593, April 1990.
[23]
S.C. Schwartz and Y.S. Yeh, "On the distribution function and moments of power sums with lognormal components," Bell Systems Technical Journal, vol.61, pp.1441--1462, Sept. 1982.
[24]
http://www.itl.nist.gov/div898/handbook/eda/eda.htm
[25]
J. Fishburn and A. Dunlop, "TILOS: a posynomial programming approach to transistor sizing", Proc. ICCAD, pp.326--328, 1985.
[26]
F. Brglez and H. Fujiwara. "A neutral netlist of 10 combinatorial benchmark circuits," Proc. ISCAS, 1985, pp.695--698.
[27]
S. Narendra, et al., "Full-chip sub-threshold leakage power prediction model for sub-0.18 ?m CMOS," Proc. ISLPED, pp.19--23, 2002.

Cited By

View all
  • (2019)Adjacency criticality: a simple yet effective metric for statistical timing yield optimisation of digital integrated circuitsIET Circuits, Devices & Systems10.1049/iet-cds.2018.561613:7(979-987)Online publication date: 22-Oct-2019
  • (2017)Multi-Vth technique based on potential critical paths for NBTI effect and leakage tradeoff2017 13th IEEE International Conference on Electronic Measurement & Instruments (ICEMI)10.1109/ICEMI.2017.8265748(146-151)Online publication date: Oct-2017
  • (2016)Efficient Algorithms for Discrete Gate Sizing and Threshold Voltage Assignment Based on an Accurate Analytical Statistical Yield GradientACM Transactions on Design Automation of Electronic Systems10.1145/289681921:4(1-27)Online publication date: 18-May-2016
  • Show More Cited By

Index Terms

  1. Statistical optimization of leakage power considering process variations using dual-Vth and sizing

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '04: Proceedings of the 41st annual Design Automation Conference
    June 2004
    1002 pages
    ISBN:1581138288
    DOI:10.1145/996566
    • General Chair:
    • Sharad Malik,
    • Program Chairs:
    • Limor Fix,
    • Andrew B. Kahng
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 07 June 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. leakage
    2. optimization
    3. variability

    Qualifiers

    • Article

    Conference

    DAC04
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)5
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 19 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)Adjacency criticality: a simple yet effective metric for statistical timing yield optimisation of digital integrated circuitsIET Circuits, Devices & Systems10.1049/iet-cds.2018.561613:7(979-987)Online publication date: 22-Oct-2019
    • (2017)Multi-Vth technique based on potential critical paths for NBTI effect and leakage tradeoff2017 13th IEEE International Conference on Electronic Measurement & Instruments (ICEMI)10.1109/ICEMI.2017.8265748(146-151)Online publication date: Oct-2017
    • (2016)Efficient Algorithms for Discrete Gate Sizing and Threshold Voltage Assignment Based on an Accurate Analytical Statistical Yield GradientACM Transactions on Design Automation of Electronic Systems10.1145/289681921:4(1-27)Online publication date: 18-May-2016
    • (2016)Leakage-Power-Aware Scheduling With Dual-Threshold Voltage DesignIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.253522124:10(3067-3079)Online publication date: Oct-2016
    • (2016)Yield-driven power-delay-optimal CMOS full-adder design complying with automotive product specifications of PVT variations and NBTI degradationsJournal of Computational Electronics10.1007/s10825-016-0878-215:4(1424-1439)Online publication date: 1-Dec-2016
    • (2016)Optimal transistor sizing for maximum yield in variation-aware standard cell designInternational Journal of Circuit Theory and Applications10.1002/cta.216744:7(1400-1424)Online publication date: 1-Jul-2016
    • (2015)GTFUZZProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755908(677-682)Online publication date: 9-Mar-2015
    • (2013)Low power FPGA design using post-silicon device aging (abstract only)Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays10.1145/2435264.2435340(277-277)Online publication date: 11-Feb-2013
    • (2010)Design time body bias selection for parametric yield improvementProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899880(681-688)Online publication date: 18-Jan-2010
    • (2010)Bounded potential slackProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899859(581-586)Online publication date: 18-Jan-2010
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media