Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/191326.191501acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article
Free access

Generating instruction sets and microarchitectures from applications

Published: 06 November 1994 Publication History

Abstract

The design of application-specific instruction set processor(ASIP) system includes at least three interdependent tasks: microarchitecture design, instruction set design, and instruction set mapping for the application. We present a method that unifies these three design problems with a single formulation: a modified scheduling/allocation problem with an integrated instruction formation process. Micro-operations (MOPs) representing the application are scheduled into time steps. Instructions are formed and hardware resources are allocated during the scheduling process. The assembly code for the given application is obtained automatically at the end of the scheduling process. This approach considers MOP parallelism, instruction field encoding, delay load/store/branch, conditional execution of MOPs and the retargetability to various architecture templates. Experiments are presented to show the power and limitation of our approach. Performance improvement over our previous work is significant.

References

[1]
J. E Bennett, A Methodology for Automated Design of Computer Instruction Sets, Ph.D. thesis, Univ. of Cambridge, Computer Laboratory, 1988
[2]
Bruce Holmer, Automatic Design of Computer Instruction Sets, Ph.D. thesis, Computer Science Department, Univ. of California, Berkeley, 1993
[3]
Alauddin Alomary, et al., "An ASIP Instruction Set Optimization Algorithm with Functional Module Sharing Constraint," Proc. of the International Conference on Computer-Aided Designs, Nov. 1993
[4]
Ing-Jer Huang and Alvin Despain, "Synthesis of Instruction Sets for Pipelined Microprocessors," Proc. of the 31st Design Automation Conference, June 1994
[5]
Ing-Jer Huang, Co-Synthesis of Instruction Sets and Microarchitectures, Ph.D. thesis, Dept. of Electrical Engineering - Systems, Univ. of Southern California, August 1994
[6]
Peter M. Kogge, The Architecture of Pipelined Computers, McGraw-Hill Book Company, 1981
[7]
Mauricio Breternitz Jr. and John Paul Shen, "Architecture Synthesis of High-Performance Application-Specific Processors", Proc. Design Automation Conference, 1990
[8]
Srinivas Devadas and Richard Newton, "Algorithms for Hardware Allocation in Data Path Synthesis," IEEE Trans. on Computer-Aided Design, Vol. 8, No. 7, July 1989
[9]
Ing-Jer Huang and Alvin Despain, "Hardware/Software Resolution of Pipeline Hazards in Instruction Set Processors," Proc. of the International Conference on Computer-Aided Designs, Nov. 1993
[10]
Richard Cloutier and Donald Thomas, "Synthesis of Pipelined Instruction Set Processors," Proc. of3Oth DAC, 1993
[11]
R. Haygood, A Prolog Benchmark Suite for Aquarius, Technical Report, UCB/CSD 89/509, University of California, Berkeley, 1989
[12]
Pierre Paulin, Clifford Liem, Trevor May, Shailesh Sutarwala, "DSP Design Tool Requirements for Embedded Systems: A Telecommunications Industrial Perspective," to appear in Journal of VLSI Signal Processing, 1994
[13]
Clifford Liem, Trevor May, Pierre Paulin, "Instruction-Set Matching and Selection for DSP and ASIP Code Generation," Proc. of EDAC, 1994
[14]
Johan Van Praet, Gert Goossens, Dirk Lanneer, Hugo De Man, "Instruction Set Definition and Instruction Selection for ASIPs," Proc. of lnt' 1 Symposium on High Level Synthesis, May 1994
[15]
Bruce Holmer and Barry Pangrle, "Hardware/Software Codesign Using Automated Instruction Set Design & Processor Synthesis," Proc. of Hardware~Software Codesign Workshop, 1993
[16]
Hironobu Kitabatake and Katsuhiko Shirai, "Functional Design of a Special Purpose Processor Based on High Level Specification Description," IEICE Trans. Fundamentals, Vol. E75-A, No. 10, Oct. 1992
[17]
M. Corazao, et al., "Instruction Set Mapping for Performance Optimization," Proc. oflCCAD, Nov. 1993
[18]
Wei-Kai Cheng and Youn-Long Lin, "Code Generation for a DSP Processor," Proc. of lnt'l Symposium on High Level Synthesis, May 1994
[19]
Peter Van Roy and Alvin Despain, "High-performance Logic Programming with the Aquarius Prolog Compiler," Computer, 25(1):54-68, January 1992

Cited By

View all
  • (2011)The Instruction-Set Extension ProblemACM Transactions on Reconfigurable Technology and Systems10.1145/1968502.19685094:2(1-28)Online publication date: 1-May-2011
  • (2009)Dynamically Adapted Low Power ASIPsProceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications10.1007/978-3-642-00641-8_13(110-122)Online publication date: 7-Mar-2009
  • (2007)A linear complexity algorithm for the generation of multiple input single output instructions of variable sizeProceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation10.5555/1776200.1776239(283-293)Online publication date: 16-Jul-2007
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '94: Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
November 1994
771 pages
ISBN:0897916905

Sponsors

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 06 November 1994

Check for updates

Qualifiers

  • Article

Conference

ICCAD '94
Sponsor:
ICCAD '94: International Conference on Computer Aided Design
November 6 - 10, 1994
California, San Jose, USA

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)28
  • Downloads (Last 6 weeks)6
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2011)The Instruction-Set Extension ProblemACM Transactions on Reconfigurable Technology and Systems10.1145/1968502.19685094:2(1-28)Online publication date: 1-May-2011
  • (2009)Dynamically Adapted Low Power ASIPsProceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications10.1007/978-3-642-00641-8_13(110-122)Online publication date: 7-Mar-2009
  • (2007)A linear complexity algorithm for the generation of multiple input single output instructions of variable sizeProceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation10.5555/1776200.1776239(283-293)Online publication date: 16-Jul-2007
  • (2006)BURS-based instruction set selectionProceedings of the 6th international Andrei Ershov memorial conference on Perspectives of systems informatics10.5555/1760700.1760740(431-437)Online publication date: 27-Jun-2006
  • (2006)A scalable synthesis methodology for application-specific processorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2006.88641014:11(1175-1188)Online publication date: 1-Nov-2006
  • (2005)Code Size Reduction in Heterogeneous-Connectivity-Based DSPs Using Instruction Set ExtensionsIEEE Transactions on Computers10.1109/TC.2005.15754:10(1216-1226)Online publication date: 1-Oct-2005
  • (2002)Synthesis of custom processors based on extensible platformsProceedings of the 2002 IEEE/ACM international conference on Computer-aided design10.1145/774572.774667(641-648)Online publication date: 10-Nov-2002
  • (1999)An ASIP design methodology for embedded systemsProceedings of the seventh international workshop on Hardware/software codesign10.1145/301177.301190(17-21)Online publication date: 1-Mar-1999
  • (1997)Hardware/software partitioning for multi-function systemsProceedings of the 1997 IEEE/ACM international conference on Computer-aided design10.5555/266388.266543(516-521)Online publication date: 13-Nov-1997
  • (1997)Code generation for core processorsProceedings of the 34th annual Design Automation Conference10.1145/266021.266073(232-237)Online publication date: 13-Jun-1997

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media