Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

MEDUSA: A Multi-Resolution Machine Learning Congestion Estimation Method for 2D and 3D Global Routing

Published: 09 September 2023 Publication History

Abstract

Routing congestion is one of the many factors that need to be minimized during the physical design phase of large integrated circuits. In this article, we propose a novel congestion estimation method, called MEDUSA, that consists of three parts: (1) a feature extraction and “hyper-image” encoding; (2) a congestion estimation method using a fixed-resolution convolutional neural network model that takes a tile of this hyper-image as input and makes accurate congestion predictions for a small region of the circuit; and (3) a sliding-window method for repeatedly applying this convolutional neural network on a layout, thereby producing higher-resolution congestion maps for arbitrarily large circuits. The proposed congestion estimation approach works with both 2D (collapsed) and 3D global routing. Using both quantitative metrics and qualitative visual inspection, congestion maps produced with MEDUSA show better accuracy than prior estimation techniques.
Global routers typically use estimation techniques during their first router iteration and then switch to using actual congestion information extracted from the intermediate router solutions. Experimental results within the same global router infrastructure show a significant impact on quality after the first routing iteration; other estimation techniques result in an average of 22% to 54% higher initial overflow counts. This initial quality improvement carries through to the final global routing solution, with other estimation techniques needing up to 5% more routing iterations and up to 3× more runtime, on average. Compared with other global routers, MEDUSA achieves comparable wire length results and lower total overflow counts (more legal global routing solutions) and is typically faster.

References

[1]
ISPD. 2008. ISPD 2008 Global Routing Contest. Retrieved April 10, 2023 from http://www.ispd.cc/contests/08/ispd08rc.html.
[2]
M. B. Alawieh, W. Li, Y. Lin, L. Singhal, M. A. Iyer, and D. Z. Pan. 2020. High-definition routing congestion prediction for large-scale FPGAs. In Proceedings of the 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC’20). 26–31.
[3]
Zhuomin Chai, Yuxiang Zhao, Yibo Lin, Wei Liu, Runsheng Wang, and Ru Huang. 2022. CircuitNet: An open-source dataset for machine learning applications in electronic design automation (EDA). Science China Information Sciences 65 (2022), 227401.
[4]
Wei-Ting J. Chan, Pei-Hsin Ho, Andrew B. Kahng, and Prashant Saxena. 2017. Routability optimization for industrial designs at sub-14Nm process nodes using machine learning. In Proceedings of the International Symposium on Physical Design.15–21.
[5]
Y. Chang, Y. Lee, and T. Wang. 2008. NTHU-Route 2.0: A fast and stable global router. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 338–343.
[6]
Gengjie Chen, Chak-Wa Pui, Haocheng Li, and Evangeline F. Y. Young. 2020. Dr. CU: Detailed routing by sparse grid graph and minimum-area-captured path search. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 9 (2020), 1902–1915.
[7]
H. Chen, C. Hsu, and Y. Chang. 2009. High-performance global routing with fast overflow reduction. In Proceedings of the Asia and South Pacific Design Automation Conference. 582–587.
[8]
C. Chu and Y. Wong. 2008. FLUTE: Fast lookup table based rectilinear steiner minimal tree algorithm for VLSI design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 27, 1 (2008), 70–83.
[9]
Sergei Dolgov, Alexander Volkov, Lutong Wang, and Bangqi Xu. 2019. 2019 CAD contest: LEF/DEF based global routing. In Proceedings of the 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’19). IEEE, Los Alamitos, CA, 1–4.
[10]
Peter E. Hart, Nils J. Nilsson, and Bertram Raphael. 1968. A formal basis for the heuristic determination of minimum cost paths. IEEE Transactions on Systems Science and Cybernetics 4, 2 (1968), 100–107.
[11]
X. He, T. Huang, L. Xiao, H. Tian, G. Cui, and E. F. Y. Young. 2011. Ripple: An effective routability-driven placer by iterative cell movement. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 74–79.
[12]
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang. 2011. Routability-driven analytical placement for mixed-size circuit designs. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 80–84.
[13]
Yu-Hung Huang, Zhiyao Xie, Guan-Qi Fang, Tao-Chun Yu, Haoxing Ren, Shao-Yun Fang, Yiran Chen, and Jiang Hu. 2019. Routability-driven macro placement with embedded CNN-based prediction model. In Proceedings of the 2019 Design, Automation, and Test in Europe Conference and Exhibition (DATE’19). 180–185.
[14]
Wei-Tse Hung, Jun-Yang Huang, Yih-Chih Chou, Cheng-Hong Tsai, and Mango Chao. 2020. Transforming global routing report into DRC violation map with convolutional neural network. In Proceedings of the 2020 International Symposium on Physical Design (ISPD’20). ACM, New York, NY, 57–64.
[15]
Andrew B. Kahng, Lutong Wang, and Bangqi Xu. 2022. TritonRoute-WXL: The open-source router with integrated DRC engine. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 41, 4 (2022), 1076–1089.
[16]
R. Kastner, E. Bozorgzadeh, and M. Sarrafzadeh. 2002. Pattern routing: Use and theory for increasing predictability and avoiding coupling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 21, 7 (July2002), 777–790.
[17]
Myung-Chul Kim, Jin Hu, Dong-Jin Lee, and Igor L. Markov. 2011. A SimPLR method for routability-driven placement. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design.67–73.
[18]
R. Kirby, S. Godil, R. Roy, and B. Catanzaro. 2019. CongestionNet: Routing congestion prediction using deep graph neural networks. In Proceedings of the 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC’19). 217–222.
[19]
C. Y. Lee. 1961. An algorithm for path connections and its applications. IRE Transactions on Electronic Computers EC-10, 3 (1961), 346–365.
[20]
Rongjian Liang, Hua Xiang, Diwesh Pandey, Lakshmi Reddy, Shyam Ramji, Gi-Joon Nam, and Jiang Hu. 2020. DRC hotspot prediction at sub-10nm process nodes using customized convolutional network. In Proceedings of the 2020 International Symposium on Physical Design (ISPD’20). ACM, New York, NY, 135–142.
[21]
Jinwei Liu, Chak-Wa Pui, Fangzhou Wang, and Evangeline F. Y. Young. 2020. CUGR: Detailed-routability-driven 3D global routing with probabilistic resource model. In Proceedings of the 2020 57th ACM/IEEE Design Automation Conference (DAC’20). 1–6.
[22]
W. Liu, W. Kao, Y. Li, and K. Chao. 2013. NCTU-GR 2.0: Multithreaded collision-aware global routing with bounded-length maze routing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 5 (May2013), 709–722.
[23]
W. Liu, Y. Li, and C. Koh. 2012. A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 713–719.
[24]
Jinan Lou, Shankar Krishnamoorthy, and Henry S. Sheng. 2001. Estimating routing congestion using probabilistic analysis. In Proceedings of the International Symposium on Physical Design.112–117.
[25]
Jackson Melchert, Boyu Zhang, and Azadeh Davoodi. 2018. A comparative study of local net modeling using machine learning. In Proceedings of the 2018 on Great Lakes Symposium on VLSI (GLSVLSI’18). ACM, New York, NY, 273–278.
[26]
P. Spindler and F. M. Johannes. 2007. Fast and accurate routing demand estimation for efficient routability-driven placement. In Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exhibition (DATE’07). 1–6.
[27]
Aysa Fakheri Tabrizi, Nima Karimpour Darav, Logan Rakai, Ismail Bustany, Andrew Kennings, and Laleh Behjat. 2019. Eh? Predictor: A deep learning framework to identify detailed routing short violations from a placed netlist. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, 6 (2019), 1177–1190.
[28]
Aysa Fakheri Tabrizi, Nima Karimpour Darav, Shuchang Xu, Logan Rakai, Ismail Bustany, Andrew Kennings, and Laleh Behjat. 2018. A machine learning framework to identify detailed routing short violations from a placed netlist. In Proceedings of the Design Automation Conference. Article 48, 6 pages.
[29]
Jurjen Westra, Chris Bartels, and Patrick Groeneveld. 2004. Probabilistic congestion prediction. In Proceedings of the International Symposium on Physical Design.204–209.
[30]
Zhiyao Xie, Yu-Hung Huang, Guan-Qi Fang, Haoxing Ren, Shao-Yun Fang, Yiran Chen, and Nvidia. 2018. RouteNet: Routability prediction for mixed-size designs using convolutional neural network. In Proceedings of the International Conference on Computer-Aided Design. Article 80, 8 pages.
[31]
Yue Xu and Chris Chu. 2011. MGR: Multi-level global router. In Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’11). 250–255.
[32]
Yue Xu, Yanheng Zhang, and Chris Chu. 2009. FastRoute 4.0: Global router with efficient via minimization. In Proceedings of the Asia and South Pacific Design Automation Conference.576–581.
[33]
Z. Zhou, Z. Zhu, J. Chen, Y. Ma, B. Yu, T. Ho, G. Lemieux, and A. Ivanov. 2019. Congestion-aware global routing using deep convolutional generative adversarial networks. In Proceedings of the 2019 ACM/IEEE 1st Workshop on Machine Learning for CAD (MLCAD’19). 1–6.

Cited By

View all
  • (2024)VioNet: A Hierarchical Detailed Routing Wire-Short Violation Predictor Based on a Convolutional Neural NetworkIEEE Design & Test10.1109/MDAT.2023.331467241:2(65-74)Online publication date: Apr-2024
  • (2024)CeConP: Exploring Node Centrality for Early Routing Congestion Prediction2024 IEEE 15th Latin America Symposium on Circuits and Systems (LASCAS)10.1109/LASCAS60203.2024.10506148(1-5)Online publication date: 27-Feb-2024
  • (2023)High-correlation 3D routability estimation for congestion-guided global routingThe Journal of Supercomputing10.1007/s11227-023-05553-080:3(3114-3141)Online publication date: 29-Aug-2023

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Transactions on Design Automation of Electronic Systems
ACM Transactions on Design Automation of Electronic Systems  Volume 28, Issue 5
September 2023
475 pages
ISSN:1084-4309
EISSN:1557-7309
DOI:10.1145/3623508
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 09 September 2023
Online AM: 01 April 2023
Accepted: 15 March 2023
Revised: 20 February 2023
Received: 14 August 2022
Published in TODAES Volume 28, Issue 5

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Congestion estimation
  2. global routing
  3. machine learning
  4. convolutional neural networks
  5. CNNs

Qualifiers

  • Research-article

Funding Sources

  • Natural Sciences and Engineering Research Council of Canada
  • Alliance program and by Huawei (Canada)

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)299
  • Downloads (Last 6 weeks)39
Reflects downloads up to 18 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2024)VioNet: A Hierarchical Detailed Routing Wire-Short Violation Predictor Based on a Convolutional Neural NetworkIEEE Design & Test10.1109/MDAT.2023.331467241:2(65-74)Online publication date: Apr-2024
  • (2024)CeConP: Exploring Node Centrality for Early Routing Congestion Prediction2024 IEEE 15th Latin America Symposium on Circuits and Systems (LASCAS)10.1109/LASCAS60203.2024.10506148(1-5)Online publication date: 27-Feb-2024
  • (2023)High-correlation 3D routability estimation for congestion-guided global routingThe Journal of Supercomputing10.1007/s11227-023-05553-080:3(3114-3141)Online publication date: 29-Aug-2023

View Options

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Full Text

View this article in Full Text.

Full Text

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media