Nothing Special   »   [go: up one dir, main page]

skip to main content
article

System level design paradigms: Platform-based design and communication synthesis

Published: 07 June 2004 Publication History

Abstract

Embedded system level design must be based on paradigms that make formal foundations and unification a cornerstone of their construction. Platform-Based designs and communication synthesis are important components of the paradigm shift we advocate.Communication synthesis is a fundamental productivity tool in a design methodology where reuse is enforced. Communication design in a reuse methodology starts with a set of functional requirements and constraints on the interaction among components and then proceeds to build protocols, topology, and physical implementations that satisfy requirements and constraints while optimizing appropriate measures of efficiency of the implementation. Maximum efficiency can be reached when the communication specifications are entered at high levels of abstraction and the design process optimizes the implementation from this specification. Unfortunately, this process is very difficult if it is not cast in a rigorous framework. Platform-Based design helps define a successive refinement process where each step can be carried out automatically and optimized appropriately. We present two cases, an on-chip and a wireless sensor network design, where the resulting methodology gave encouraging results.

References

[1]
Ahonen, T., Siguenza-Tortosa, D. A., Bin, H., and Nurmi, J. 2004. Topology optimization for application-specific networks-on-chip. In Proceedings of the 2004 International Workshop on System Level Interconnect Prediction. ACM Press, New York, 53--60.
[2]
Bakshi, A. and Prasanna, V. 2004. Algorithm design and synthesis for wireless sensor networks. In Proceedings of the International Conference on Parallel Processing.
[3]
Balarin, F., Lavagno, L., Passerone, C., Sangiovanni-Vincentelli, A. L., Sgroi, M., and Watanabe, Y. 2002. Modeling and designing heterogeneous systems. In Concurrency and Hardware Design, Advances in Petri Nets. Springer Verlag, London, 228--273.
[4]
Benini, L. and Micheli, G. D. 2002. Networks on chips: A new SOC paradigm. Computer 35, 1, 70--78.
[5]
Bertozzi, D. and Benini, L. 2004. Xpipes: A network-on-chip architecture for gigascale systems-on-chip. IEEE Circuits Syst. 4, 2, 18--31.
[6]
Bertozzi, D., Jalabert, A., Murali, S., Tamhankar, R., Stergiou, S., Benini, L., and Micheli, G. D. 2005. NOC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. Parallel Distrib. Syst. 16, 2, 113--129.
[7]
Bonivento, A., Carloni, L., and Sangiovanni-Vincentelli, A. 2005. Rialto: A bridge between description and implementation of control algorithms for wireless sensor networks. In Proceedings of the 5th ACM International Conference on Embedded Software. Jersey City, NJ.
[8]
Bonivento, A., Carloni, L., and Sangiovanni-Vincentelli, A. 2006. Platform based design for wireless sensor networks. To appear in MONET.
[9]
Bonivento, A., Fischione, C., and Sangiovanni-Vincentelli, A. 2006. Randomized protocol stack for ubiquitous networks in indoor environment. In Proceedings of the IEEE Consumer Communications and Networking Conference. Las Vegas, NV.
[10]
Bonivento, A., Fischione, C., Sangiovanni-Vincentelli, A., Graziosi, F., and Santucci, F. 2005. Seran: A semi random protocol solution for clustered wireless sensor networks. In Proceedings of the International Conference on Multi-Agent Systems. Washington, DC.
[11]
Chaki, S., Rajamani, S., and Rehof, J. 2002. Types as models: Model checking message-passing programs. In Proceedings of the 29th ACM Symposium on the Principles of Programming Languages.
[12]
Chakrabarti, A., de Alfaro, L., Henzinger, T. A., Jurdzinski, M., and Mang, F. Y. C. 2002. Interface compatibility checking for software modules. In Proceedings of the 14th International Conference on Computer-Aided Verification (CAV). Lecture Notes in Computer Science, vol. 2404. Springer Verlag, 428--441.
[13]
Chakrabarti, A., de Alfaro, L., Henzinger, T. A., and Stoelinga, M. 2003. Resource interfaces. In Proceedings of the 3rd International Conference on Embedded Software. Lecture Notes in Computer Science, vol. 2855. Springer Verlag, New York.
[14]
Chang, H., Cooke, L., Hunt, M., Martin, G., McNelly, A. J., and Todd, L. 1999. Surviving the SOC Revolution. A Guide to Platform-Based Design. Kluwer Academic Publishers, Norwell, Mass.
[15]
Charikar, M. and Karagiozova, A. 2005. On non-uniform multicommodity buy-at-bulk network design. In STOC '05: Proceedings of the 37th Annual ACM Symposium on Theory of Computing. ACM Press, New York, 176--182.
[16]
Dally, W. J. and Towles, B. 2001. Route packets, not wires: On-Chip interconnection networks. In Proceedings of the Design Automation Conference. Las Vegas, Nev., 684--689.
[17]
de Alfaro, L. and Henzinger, T. A. 2001. Interface theories for component-based design. In Proceedings of the 1st International Workshop on Embedded Software. Lecture Notes in Computer Science vol. 2211, Springer Verlag, 148--165.
[18]
Ernst, R., Henkel, J., and Benner, T. 1993. Hardware-software cosynthesis for microcontrollers. IEEE Des. Test 10, 4, 64--75.
[19]
Ferrari, A. and Sangiovanni-Vincentelli, A. L. 1999. System design: Traditional concepts and new paradigms. In Proceedings of the 1999 IEEE International Conference on Computer Design. IEEE Computer Society, Washington, DC.
[20]
Gajski, D., Vahid, F., Narayan, S., and Gong, J. 1998. Specsyn: An environment supporting the specify-explorerefine paradigm for hardware/software system design. IEEE Trans. VLSI 6, 1, 84--100.
[21]
Garey, M. and Johnson, D. 1979. Computers and Intractability: A Guide to the Theory of NP-completeness. W. H. Freeman and Company.
[22]
Gasteier, M. and Glesner, M. 1998. Generation of interconnect topologies for communication synthesis. In DATE '98: Proceedings of the Conference on Design, Automation and Test in Europe. IEEE Computer Society, Washington, DC, 36--43.
[23]
Gupta, R. K. and Michelli, G. D. 1993. Hardware-software cosynthesis for digital systems. IEEE Des. Test Comput. (Sept.), 29--41.
[24]
Hu, J., Deng, Y., and Marculescu, R. 2002. System-Level point-to-point communication synthesis using floorplanning information. In Proceedings of the Asia South Pacific Design Automation/VLSI Design Conference.
[25]
Hu, J. and Marculescu, R. 2003. Energy-Aware mapping for tile-based NOC architectures under performance constraints. In Proceedings of the Asia and South Pacific Design Automation Conference.
[26]
Hu, J. and Marculescu, R. 2004. Dyad: Smart routing for networks-on-chip. In Proceedings of the 41st Annual Conference on Design Automation. ACM Press, New York, 260--263.
[27]
Lahiri, K., Raghunanthan, A., Lakshminarayana, G., and Dey, S. 2004. Design of high-performance system-on-chips using communication architecutre tuners. IEEE Trans. CAD 23, 5, 620--636.
[28]
Li, Y. and Wolf, W. 1998. Hardware/Software co-synthesis with memory hierarchies. In ICCAD '98: Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design. ACM Press, New York, 430--436.
[29]
Murali, S. and Micheli, G. D. 2004. Sunmap: A tool for automatic topology selection and generation for NOCS. In Proceedings of the 41st Annual Conference on Design Automation. ACM Press, New York, 914--919.
[30]
Ortega, R. B. and Borriello, G. 1998. Communication synthesis for distributed embedded systems. In ICCAD '98: Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design. ACM Press, New York, 437--444.
[31]
Passerone, R. 2004. Semantic foundations for heterogeneous systems. Ph.D. thesis, University of California, Berkeley.
[32]
Passerone, R., de Alfaro, L., Henzinger, T. A., and Sangiovanni-Vincentelli, A. L. 2002. Convertibility verification and converter synthesis: Two faces of the same coin. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design.
[33]
Passerone, R., Rowson, J. A., and Sangiovanni-Vincentelli, A. L. 1998. Automatic synthesis of interfaces between incompatible protocols. In Proceedings of the Design Automation Conference, San Francisco, Calif.
[34]
Pinto, A., Carloni, L. P., and Sangiovanni-Vincentelli, A. L. 2002. Constraint-Driven communication synthesis. In DAC '02: Proceedings of the 39th Conference on Design Automation. ACM Press, New York, 783--788.
[35]
Pinto, A., Carloni, L. P., and Sangiovanni-Vincentelli, A. L. 2003. Efficient synthesis of networks on chip. In Proceedings of the 21st International Conference on Computer Design, 5.
[36]
Polastre, J., Hui, J., Levis, P., Zhao, J., D. Culler, S. S., and Stoica, I. 2005. A unified link abstraction for wireless sensor networks. In Proceedings of the 3rd International Conference Embedded Networked Sensor Systems.
[37]
Prakash, S. and Parker, A. C. 1992. Synthesis of application-specific heterogeneous multiprocessor systems (abstract). In Proceedings of the 19th Annual International Symposium on Computer Architecture. ACM Press, New York, 434.
[38]
Rhodes, D. L. and Wolf, W. 1999. Co-Synthesis of heterogeneous multiprocessor systems using arbitrated communication. In Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design. IEEE Press, Piscataway, NJ, 339--342.
[39]
Rowson, J. A. and Sangiovanni-Vincentelli, A. 1997a. Interface-Based design. In Proceedings of the Design Automation Conference.
[40]
Rowson, J. A. and Sangiovanni-Vincentelli, A. L. 1997b. Interface-Based design. In Proceedings of the 34th Design Automation Conference, 178--183.
[41]
Sgroi, M., Sheets, M., Mihal, A., Keutzer, K., Malik, S., Rabaey, J., and Sangiovanni-Vincentelli, A. 2001. Addressing the system-on-a-chip interconnect woes through communication-based design. In Proceedings of the Design Automation Conference.
[42]
Sgroi, M., Wolisz, A., Sangiovanni-Vincentelli, A., and Rabaey, J. 2004. A service-based universal application interface for ad-hoc wireless sensor networks. In Whitepaper, U.C. Berkeley.
[43]
Shimizu, K. and Dill, D. L. 2002. Deriving a simulation input generator and a coverage metric from a formal specification. In Proceedings of the Design Automation Conference. New Orleans, La.
[44]
Srinivasan, K., Chatha, K. S., and Konjevod, G. 2004. Linear programming based techniques for synthesis of network-on-chip architectures. In Proceedings of the IEEE International Conference on Computer Design, 422--429.
[45]
Vazirani, V. 2003. Approximation Algorithms. Springer Verlag, Berlin.
[46]
Vincentelli, A. S. 2002. Defining platform-based design. EEDesign of EETimes.
[47]
Wang, H., Peh, L.-S., and Malik, S. 2005. A technology-aware and energy-oriented topology exploration for on-chip networks. In Proceedings of the Design Automation and Test in Europe Conference, 1238--1243.
[48]
Wang, H.-S., Zhu, X., Peh, L.-S., and Malik, S. 2002. Orion: A power-performance simulator for interconnection networks. In Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture. IEEE Computer Society Press, Los Alamitos, Calif., 294--305.
[49]
Wynants, C. 2001. Network Synthesis Problems. Kluwer Academic, Hingham, Mass.
[50]
Yen, T.-Y. and Wolf, W. 1995. Communication synthesis for distributed embedded systems. In ICCAD '95: Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design. IEEE Computer Society, Washington, DC, 288--294.
[51]
Yu, Y., Hong, B., and Prasanna, V. 2005. Communication models for algorithm design in wireless sensor networks. In Proceedings of the IEEE International Parallel and Distributed Processing, Symposium.

Cited By

View all
  • (2021)Embedded Deep Learning Prototyping Approach for Cyber-Physical Systems: Smart LIDAR Case StudyJournal of Sensor and Actuator Networks10.3390/jsan1001001810:1(18)Online publication date: 24-Feb-2021
  • (2020)Hardware architecture exploration: automatic exploration of distributed automotive hardware architecturesSoftware and Systems Modeling (SoSyM)10.1007/s10270-020-00786-619:4(911-934)Online publication date: 1-Jul-2020
  • (2018)Exploration of hardware topologies based on functions, variability and timingProceedings of the 21st ACM/IEEE International Conference on Model Driven Engineering Languages and Systems: Companion Proceedings10.1145/3270112.3275333(145-149)Online publication date: 14-Oct-2018
  • Show More Cited By

Index Terms

  1. System level design paradigms: Platform-based design and communication synthesis

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 11, Issue 3
    July 2006
    262 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/1142980
    Issue’s Table of Contents

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 07 June 2004
    Published in TODAES Volume 11, Issue 3

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Embedded systems
    2. communication synthesis
    3. platform-based design

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 18 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)Embedded Deep Learning Prototyping Approach for Cyber-Physical Systems: Smart LIDAR Case StudyJournal of Sensor and Actuator Networks10.3390/jsan1001001810:1(18)Online publication date: 24-Feb-2021
    • (2020)Hardware architecture exploration: automatic exploration of distributed automotive hardware architecturesSoftware and Systems Modeling (SoSyM)10.1007/s10270-020-00786-619:4(911-934)Online publication date: 1-Jul-2020
    • (2018)Exploration of hardware topologies based on functions, variability and timingProceedings of the 21st ACM/IEEE International Conference on Model Driven Engineering Languages and Systems: Companion Proceedings10.1145/3270112.3275333(145-149)Online publication date: 14-Oct-2018
    • (2018)From Deployment to Platform ExplorationProceedings of the 21th ACM/IEEE International Conference on Model Driven Engineering Languages and Systems10.1145/3239372.3239385(438-446)Online publication date: 14-Oct-2018
    • (2018)Model and Tool Integration Platforms for Cyber–Physical System DesignProceedings of the IEEE10.1109/JPROC.2018.2838530106:9(1501-1526)Online publication date: Sep-2018
    • (2018)Model-Based Software Synthesis for Safety-Critical Cyber-Physical SystemsSafe, Autonomous and Intelligent Vehicles10.1007/978-3-319-97301-2_9(163-186)Online publication date: 15-Nov-2018
    • (2017)IntroductionReliable and Energy Efficient Streaming Multiprocessor Systems10.1007/978-3-319-69374-3_1(1-21)Online publication date: 4-Nov-2017
    • (2017)CSL4PSystems Engineering10.1002/sys.2138620:3(220-234)Online publication date: 1-May-2017
    • (2016)A Comparative Study of Recent Wireless Sensor Network SimulatorsACM Transactions on Sensor Networks10.1145/290314412:3(1-39)Online publication date: 26-Jul-2016
    • (2016)Cyber/physical co-design in practice: Case studies in metroII2016 11th IEEE Symposium on Industrial Embedded Systems (SIES)10.1109/SIES.2016.7509408(1-10)Online publication date: May-2016
    • Show More Cited By

    View Options

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media