Nothing Special   »   [go: up one dir, main page]

T2 Malla Pres Snps Good

Download as pdf or txt
Download as pdf or txt
You are on page 1of 44

Advanced ECO in PrimeTime

Gauri Sankar Malla


Synopsys

August 21, 2015


SNUG Singapore

SNUG 2015 1
Agenda

PrimeTime ECO Overview


Physical ECO in PrimeTime
Multiply Instantiated Module (MIM) ECO
PrimeTime ECO for Power Recovery
PrimeTime ECO for Noise

SNUG 2015 Synopsys Confidential 2


Intelligent Signoff-driven ECO
Fix violations efficiently, do not create new ones

PrimeTime IC Compiler

Efficient ECO Intelligent ECO


Guidance implementation

• Based on signoff timing • Fast/High Capacity


• Optimized for large designs & • Incremental physical
many scenarios implementation
• Minimal netlist impact • Minimal Physical Impact

• Fast convergent signoff timing fixing flow


• Using best-in-class signoff analysis & implementation engines

SNUG 2015 Synopsys Confidential 3


PrimeTime ECO Guidance Flow
Single-pass Timing, DRC, Noise and Power Recovery
Recommended
Power Final Leakage
ECO DRC, Noise* and timing fixing
guidance recovery recovery

Sizing DRC and Noise Setup uses Hold uses Vt Swapping


use buffer buffer buffer
Fix mechanism
insertion and insertion and insertion
sizing sizing and sizing
Does not DRC and Noise Setup Hold Does not introduce
introduce new alter setup/hold honors DRC, honors new timing or DRC
Precedence rules timing or DRC slack as needed alters hold if setup violations
violations (fix noise after needed slack and
DRC & timing) DRC
• Perform ECOs that result in more changes Final step after
Flow options • Implement the changes in IC Compiler before next timing closure
PrimeTime ECO run
• Supports full-chip STA, SI, AOCV GBA and PBA flows
Highlights
• Fixes across all scenarios
No negative 95% 70% 95% No negative impact
QoR impact
*New in 2015.06
SNUG 2015 Synopsys Confidential 4
PrimeTime Interface to ICC/ICC-II

Run PrimeTime
• Produce ECO changes
ECO Guidance • Generate optimized SNPS tcl output

Write ECO • Write list of instance changes


Guidance for • Includes sized cells and inserted buffers
IC Compiler

Run
IC Compiler/ICC-II • ECO Place and legalize
MPI Flow • ECO route

SNUG 2015 Synopsys Confidential 5


PrimeTime ECO Features and Release
ECO Feature Release
DRC, Setup, Hold  PrimeTime-SI
 Broadly deployed since 2011.12
Leakage Recovery  PrimeTime-ADV
Sequential Cell Sizing  Introduced 2012.12 and broadly deployed
Physically Aware ECO for DRC, Setup,  PrimeTime-ADV
Hold  Introduced 2013.06
 Use with ICC 2013.03-SP4 or later

Hierarchy & voltage regions support  PrimeTime-ADV


Power recovery using downsizing  Introduced 2014.06
 Use with ICC 2013.12-SP4 or later
Multiply Instantiated Module (MIM) ECO  PrimeTime-ADV
Power recovery with user-defined pwr attr  Introduced 2014.12
ECO controls for specific paths  Use with ICC 2013.12-SP4 or later
ECO for Noise  PrimeTime-ADV
Physically Aware Power Recovery  Introduced 2015.06
 Use with ICC 2013.12-SP4 or later

SNUG 2015 Synopsys Confidential 6


Galaxy ECO Widely Deployed

“Reduced ECO iterations from And more…


6 -> 2”

“Reduced timing/power closure


from 3 weeks to 3 days” (Latch)

“No additional iterations after


power ECO, replaced 3rd party”

“PrimeTime ECO for fastest


signoff timing closure”

“First production 16nm FinFET


tapeout with PrimeTime ECO”
Source: Synopsys, PrimeTime SIG at DAC, SNUG, DATE

SNUG 2015 Synopsys Confidential 7


Agenda

PrimeTime ECO Overview


Physical ECO in PrimeTime
Multiply Instantiated Module (MIM) ECO
PrimeTime ECO for Power Recovery
PrimeTime ECO for Noise

SNUG 2015 Synopsys Confidential 8


Physically Aware ECO Flow

• Inputs
– Netlist + DEF • Outputs
– Library + LEF – ASCII ECO file with
coordinates
– SPEF/SBPF with coordinate
information

Signoff-driven Timing ECO

Netlist,
StarRC SPEF/
PrimeTime LEF/DEF
IC Compiler
Parasitic SBPF Signoff STA & Optimization
Extraction ECO Guidance ECO list w/
coordinates

SNUG 2015 Synopsys Confidential 9


PrimeTime Physically Aware ECO
Placement and routing aware signoff timing closure
• On-route cell insertion
achieves unbeatable
timing QoR
• Placement density aware
ECO delivers best-in-class
timing convergence
• Best results when used
with IC Compiler MPI
• FinFET timing awareness
ready for next generation
technology nodes

SNUG 2015 Synopsys Confidential 10


PrimeTime Physically-aware ECO
Routing aware to improve fix rates and convergence
• Finds space on route to insert buffer
– Accurately model the on-route buffer by splitting parasitics
– Incremental update of signoff timing, waveform, variation, etc
– Utilize “add_buffer_on_route” for IC Compiler implementation
Load Buffering Load Regrouping Load Shielding
Driver empty slots Driver Driver
for load buffer
insertion
critical
load

non critical
load

Inserted buffer Load Inserted buffer regroups Load Inserted buffer


strengthens driver high fanout net shields critical load

SNUG 2015 Synopsys Confidential 11


PrimeTime Physically-aware ECO
Placement aware to avoid large displacements
• Constrains cell upsizing to avoid displacement
– Adds additional on-route buffer to complete timing fix
• Accounts for placement blockages and density
– Option to consider filler cells as usable free sites
Density-aware Blockage-aware Multi voltage-aware

Driver Size cell with Driver Placement blockage Driver 0.9v


available space on thin channel

High
utilization 0.6v
Macro Macro
High
utilization

Insert buffer in Insert buffer Insert buffer


Load In correct region Load
low density area at legal site Load

SNUG 2015 Synopsys Confidential 12


Hierarchical Physically-Aware ECO

CHIP.DEF
• Hierarchical DEF flow BLK_A.DEF
BLK_B.DEF
– Read multiple DEF for top
and block level
– Generate fix guidance
separately for top and BLK_C.DEF
blocks
BLK_D.DEF

• Flexible ECO usage with


physical boundaries
– Full-chip
– Top-level only
– Block-level only PrimeTime Physically-aware ECO

SNUG 2015 Synopsys Confidential 13


ECO Guidance for Remaining Violations
• Additional guidance for resolving remaining violations is available with
Physically Aware ECO
– Usage : set eco_report_unfixed_reason_max_endpoints 50
• More details in the man page of fix_eco_timing/fix_eco_drc

Unfixable violations:
D - Cell or net is located in high density area
E - Physical information is incomplete or unavailable
H - Logical and physical hierarchies are inconsistent
O - No open free site is available

• Example:
– Unfixable reason : “O” - No open free site is available
– Action : - Try occupied_site mode to apply more aggressive fixing relative to layout

SNUG 2015 Synopsys Confidential 14


Example
ECO Guidance for Remaining Violation

• Details are provided to allow further debug of unfixable violations


• Endpoint and connect points along path, with reason violation was unfixed
• Priority/Slack column where fixing is preferred (P9 highest, P0 lowest)

U1 U6 U8

U3 U4 slack = -3

U2

U5 U7 U9

slack = -2
Start
point Violation Reasons Prio/Slk
-----------------------------------------
S:U2/Z O D P9
End U3/Z S P8 Fixing priority
point U4/Z T P7
U6/Z A T P4
E:U8/D Unfixable -3.0 Endpoint slack
C:U3/Z reasons S P8
Connect U5/Z O D S P7
point U7/Z O D V P2
E:U9/D -2.0
SNUG 2015 15
Synopsys Confidential
PrimeTime to IC Compiler
ECO Flow with Minimal Physical Impact (MPI)

• Route & legalization management during ECO


implementation

• ECO cell placement with place_eco_cells


– Track and report large displacement ECO cells
– User controllable threshold for legalization

• Preserve existing routes as much as possible

SNUG 2015 Synopsys Confidential 16


IC Compiler MPI flow to Implement
PrimeTime ECOs
fix_eco_timing or fix_eco_drc or
fix_eco_power
Generating
ECO change
write_changes –format icctcl list file in
–output pt_eco.tcl PrimeTime
ECO

IC Compiler
eco_netlist -by_tcl_file pt_eco.tcl

place_eco_cells \ IC Compiler
-eco_changed_cells \
-legalize_only \ MPI flow
-displacement_threshold 10

legalize_placement -incremental

set_route_zrt_global_options -timing_driven false -crosstalk_driven false


set_route_zrt_track_options -timing_driven false -crosstalk_driven false
set_route_zrt_detail_options -timing_driven false

route_zrt_eco -reroute modified_nets_first_then_others

SNUG 2015 Synopsys Confidential 17


Physical ECO Command Overview
Placement Density Aware Modes
• Open site mode:
fix_eco_drc/fix_eco_timing -physical_mode open_site

– Buffer insertion and cell sizing only when open sites available
– Minimizes disturbance to physical layout
– Targeted for final stage ECO

• Occupied site mode:


fix_eco_drc/fix_eco_timing -physical_mode occupied_site

– Buffer insertion and cell sizing allowed to overlap existing cells


– Provides tool the flexibility to address all the violations
– ECO implementation may see more displacements

SNUG 2015 Synopsys Confidential 18


User Interface for New Command :
set_eco_options
set_eco_options Specify physical information

[-physical_lib_path file_name_list] Specifies path to lef files

[-physical_design_path file_name] Specifies path to def file

[-log_file my_log_file] Specifies log file to capture output of reading


the physical files

[-physical_constraint_file file_name] Specifies file name of the physical constraints


file with create_placement_blockage and
create_voltage_area commands which will be
applied

**[-mim_group object] User specified MIM identification

**[-filler_cell_names list] Custom filler cell identification

** Covered in later slides

SNUG 2015 Synopsys Confidential 19


Output From PT to ICC

Logical ECO
size_cell U1 AND8X
insert_buffer U2/Z BUF1X New cell placement
information

Physical ECO
size_cell U1 AND2X
insert_buffer U2/Z BUF1X -location {212.3 753.2}
add_buffer_on_route net1 BUF2X –location {215.0 853.2 0}

NewICC
ICCcommand
commandfor for on
on-route
route buffer
buffering

SNUG 2015 Synopsys Confidential 20


Filler Cell Treated as Open Sites
• PrimeTime ECO treats the filler cells as open sites
• Filler cells are automatically identified if LEF and DEF syntax
conditions are met:
– LEF CLASS CORE is SPACER or FEEDTHRU
– DEF defines instances with valid physical information and
SOURCE DIST
# LEF Filler Cell construct
MACRO FILL4TLL
CORE is defined as SPACER or FEEDTHRU;
CLASS CORE SPACER ;
ORIGIN 0 0 ; automatically identified as a filler cell
SIZE 6.4 BY 1.6 ;
…..
END FILL4TLL

# DEF instantiation of Filler Cell


- xofiller!FILL4TLL!11033 FILL4TLL + SOURCE DIST + PLACED (264300 624100) N ;

Instance not defined in the Verilog netlist but


exists in the physical DEF

SNUG 2015 Synopsys Confidential 21


User-Defined Filler Cells in
Physically-Aware ECO

• New -filler_cell_names option of the


set_eco_options command supports user-defined
filler cells
• Physical library cells can be defined as filler that cannot
be automatically identified
• These cells are treated as filler cells during ECO

set_eco_options -filler_cell_names {DCAP1A DCAP2A FILL_XYZ}

SNUG 2015 Synopsys Confidential 22


Agenda

PrimeTime ECO Overview


Physical ECO in PrimeTime
Multiply Instantiated Module (MIM) ECO
PrimeTime ECO for Power Recovery
PrimeTime ECO for Noise

SNUG 2015 Synopsys Confidential 25


Multiply Instantiated Module (MIM)
Signoff-driven ECO
Hierarchical ECO Flow
• Accelerates hierarchical
design timing closure

• Generates a single ECO


change list for multiply
instantiated modules

• Provides ECO guidance


based on physical
Single ECO guidance to multiple
hierarchy instances of a physical module

SNUG 2015 Synopsys Confidential 26


MIM ECO Details
• MIM ECO enabled by the eco_enable_mim variable

• MIM ECO is supported in both logical and Physical ECO for


fix_eco_timing, fix_eco_drc, fix_eco_power

– Default MIM configuration for logical ECO inferred from parasitics:


read_parasitics -path [all_instances -hier CPU] CPU.spef

– Default MIM configuration for physically-aware ECO inferred from DEF:


set_eco_options -physical_design_path {top.def CPU.def}

– User controls available to customize MIM configuration:


set_eco_options -mim_group {CPU_1 CPU_3}

SNUG 2015 Synopsys Confidential 28


Log File Output Using MIM ECO
... Enable MIM
set eco_enable_mim true
ECO
fix_eco_timing -type hold -physical_mode $physical_mode -buffer_list $buffs -verbose
Information: Checked out license 'PrimeTime-ADV' (PT-019)
...
Information: Identifying MIM... (PTECO-045)
Information: Checking MIM with physical data...
Information: Physical data and parasitics have the same MIM configurations. (PTECO-048)

Multiply instantiated modules:


Module Instances
------------------------------------ MIM identification (occurs for both
CPU CPU_1 logical and physically-aware ECO);
CPU_2
CPU_3 current example is default inferred
CPU_4 configuration
Information: Starting timing fix iteration 1 at [ Mon Nov 10 14:21:14 2014 ]...
...

Final ECO Summary:


---------------------------------------------------
Number of size_cell commands 204
Number of insert_buffer commands 1607 Writing changes for top
... level and MIM block CPU
write_changes -format icctcl -output eco.tcl
Information: Writing change list file <dir>/eco.tcl'.
Information: Writing change list file <dir>/CPU_eco.tcl‘ for instance ‘CPU_1 CPU_2 CPU_3 CPU_4' of
module ‘CPU'.
...

SNUG 2015 Synopsys Confidential 29


Agenda

PrimeTime ECO Overview


Physical ECO in PrimeTime
Multiply Instantiated Module (MIM) ECO
PrimeTime ECO for Power Recovery
PrimeTime ECO for Noise

SNUG 2015 Synopsys Confidential 30


Power Recovery with PrimeTime ECO

• Supports power recovery by downsizing

• Allows pattern based cell swapping for leakage


optimization

• Includes attribute based power recovery, performs cell


swapping and downsizing

SNUG 2015 Synopsys Confidential 31


Downsizing for Power Recovery
fix_eco_power
• Cell downsizing on paths with positive setup slack
• Downsizes within the same library
• Recovers power and frees space for subsequent ECOs

Pre ECO Post ECO

New New
Buffer Buffer

SNUG 2015 Synopsys Confidential 32


Pattern Based Cell Swapping
Leakage Power Recovery
• Performs cell swaps for leakage recovery
• Preserves signoff timing QoR with minimal change to
layout
fix_eco_power -pattern_priority {HVT NVT LVT}

Fixed pattern Most preferred Least preferred

swap HVT_BUF1X
LVT_BUF1X
HVT_BUF1X NVT_BUF1X
NVT_BUF1X
NVT_BUF1X swap HVT_BUF1X
LVT_BUF1X

HVT_BUF1X no swap
Changing
pattern • Changing pattern can be a prefix, suffix or in the middle
• Fixed pattern has to match for the swappable cells

SNUG 2015 Synopsys Confidential 33


Power Attribute Based Power Recovery
• fix_eco_power -power_attribute drive downsizing based on
user-defined power values per lib cell
• Downsizing goal is to reduce total power attribute cost while
maintaining setup and DRC
– Sizes to equivalent cells with same or smaller area and smaller power attribute
– Allows sizing across libraries for equivalent cells with defined power attributes

define_user_attribute pwr_attr -type float -classes lib_cell


set_user_attribute -class lib_cell [get_lib_cell */INV1XH] pwr_attr 1.0
set_user_attribute -class lib_cell [get_lib_cell */INV1XN] pwr_attr 1.5
set_user_attribute -class lib_cell [get_lib_cell */INV2XH] pwr_attr 3.0

fix_eco_power -power_attribute pwr_attr -verbose

Lib cell pwr_attr Area


INV1XH 1.0 4.0
INV1XN 1.5 4.0
INV2XH 3.0 6.0

SNUG 2015 Synopsys Confidential 34


DMSA-Based Usage
fix_eco_power -power_attribute
• DMSA-based power recovery uses a single power objective
– Recovers power based on power attributes
– Maintains setup timing and DRC across all scenarios
• Each scenario uses the same power attributes for the library cells for
its respective library
• Each scenario sources the same attribute file

scenario-1
remote_execute {
source pwr_attr.tcl
scenario-2
}
scenario-3
fix_eco_power -power_attribute pwr_attr

scenario-x

SNUG 2015 Synopsys Confidential 35


fix_eco_power – DMSA Example
Library cell power attribute based
## DMSA SETUP for multiple scenarios up to this point
remote_execute {
set timing_save_pin_arrival_and_slack true; update_timing -full Defines power attributes
define_user_attribute pwr_attr -type float -classes lib_cell to drive power recovery
set_user_attribute -class lib_cell [get_lib_cell */INV1XH] pwr_attr 1.0
set_user_attribute -class lib_cell [get_lib_cell */INV1XN] pwr_attr 1.5
(pwr_attr value same
… value for each lib cell for
} every scenario)
# PRE Reporting
report_global_timing; report_constraint -all_violators -max_capacitance -max_transition
remote_execute {
report_global_timing
report_constraint -all_violators -max_capacitance -max_transition
set power_clock_network_include_register_clock_pin_power false Cell usage and power
set power_enable_analysis true
report done at slaves
report_power -groups {combinational sequential register}
report_cell_usage -power_attribute pwr_attr
report_eco_library_cells -power_attribute pwr_attr
} fix_eco_power
fix_eco_power -verbose -power_attribute pwr_attr command using power
# POST Reporting attributes
report_global_timing; report_constraint -all_violators -max_capacitance -max_transition
remote_execute {
report_global_timing; report_constraint -all_violators -max_capacitance -max_transition
report_power -groups {combinational sequential register}
report_cell_usage -power_attribute pwr_attr
report_eco_library_cells -power_attribute pwr_attr
write_changes -format icctcl -output pt_eco.tcl
}
exit

SNUG 2015 Synopsys Confidential 36


fix_eco_power -power_attribute
Example Log
fix_eco_power -verbose -power_attribute pwr_attr
Information: Starting down-sizing at []... Log file confirming start
Initial setup violating endpoints: of fix_eco_power
Scenario Count
------------------------------------------------ -power_attribute
Func_slow 103
Func_hold 0
Scan 0 Initial setup violation
------------------------------------------------
Total 103 counts
Initial cell usage:
Cell Group Count Area Power_Attr
--------------------------------------------------------------------------------
Combinational 2005606 ( 82%) 722343.94 ( 45%) 161736.00 ( 77%)
Sequential 409516 ( 17%) 474450.41 ( 29%) 32950.50 ( 16%)
Clock 22171 ( 1%) 20959.79 ( 1%) 14251.30 ( 7%)
Others 102 ( 0%) 395634.59 ( 25%) 0.00 ( 0%)
-------------------------------------------------------------------------------- Initial Power_Attr cost
Total 2437395 (100%) 1613388.75 (100%) 208937.80 (100%)
before sizing
Information: Analyzing attribute 'pwr_attr'...
Information: There are 3212combinational library cells with attribute 'pwr_attr'.
Information: There are 2191 sequential library cells with attribute 'pwr_attr'.

Information: Analyzing scenarios for setup timing... Analyzing Power_Attr


Information: Combinational and sequential cells will be down-sized.
Information: Starting iteration 1 at []... and starting iteration 1
...
of sizing

SNUG 2015 Synopsys Confidential 37


fix_eco_power -power_attribute
Example Log (Continued)
Final cell usage after

Final cell usage: fix_eco_power
Cell Group Count Area Power_Attr
--------------------------------------------------------------------------------
-power_attribute
Combinational 2005606 ( 82%) 688548.38 ( 44%) 140104.00 ( 75%)
Sequential 409516 ( 17%) 473254.66 ( 30%) 32570.20 ( 17%)
Clock 22171 ( 1%) 20959.79 ( 1%) 14251.30 ( 8%)
Others 102 ( 0%) 395634.59 ( 25%) 0.00 ( 0%)
--------------------------------------------------------------------------------
Total 2437395 (100%) 1578397.38 (100%) 186925.50 (100%)

Final ECO Summary:


------------------------------------------------------------
Number of size_cell commands 211947
Initial total cell area 1613388.75
Final total cell area 1578397.38
Total cell area decreased 34991.38
Total percentage of cell area decreased 2.2%
Initial total power attribute 208937.80
Final total power attribute 186925.50 Final ECO summary
Total power attribute decreased 22012.30
Total percentage of power attribute decreased 10.5%
percentage area and
------------------------------------------------------------ power attribute
decreased

SNUG 2015 Synopsys Confidential 38


Details on fix_eco_power
fix_eco_power
-cell_type Specifies a cell type for power recovery.
Allowed values are combinational and
sequential. The default is both.

-setup_margin Specifies an additional timing margin to be


applied to setup slacks during power recovery.
The default is zero.

-pattern_priority Specifies a list of library cell patterns in the


order of highest to lowest priority.

-attribute Specifies the attribute name that can be used to


match patterns specified by the
-pattern_priority option.
-verbose Shows additional information during power
recovery process.
-power_attribute Specifies the attribute name that can be used to
specify user defined power cost per lib cell

* fix_eco_power -power_attribute cannot be used with -pattern_priority


and -attribute options, which are used for pattern-based swapping
SNUG 2015 Synopsys Confidential 39
Agenda

PrimeTime ECO Overview


Physical ECO in PrimeTime
Multiply Instantiated Module (MIM) ECO
PrimeTime ECO for Power Recovery
PrimeTime ECO for Noise

SNUG 2015 Synopsys Confidential 40


Noise ECO Fixing: Technology

• Noise ECO fixing


– Performs logical and physically-aware ECO based on noise
analysis
– Depending on the noise analysis mode specified, targets violating
endpoints and/or violating sources
– Fixes noise violations while honoring timing margins
– On-route buffering in physically-aware mode provides higher fix
rate
– Shows unfixable reasons in verbose mode

SNUG 2015 Synopsys Confidential 41


Noise ECO Fixing: Technology
Driver Sizing Driver Strengthening On-route Buffering

Aggressor Aggressor Aggressor

Victim Victim Victim

Driver Load Driver Load Driver Load

• Noise fixing on the victim of the source of the violation


(make it more immune)
– Driver sizing
– Buffer insertion at driver pin
– On-route buffer insertion
SNUG 2015 Synopsys Confidential 42
Noise ECO Best Practice
• Noise fixing is supported in both report_at_source
and report_at_endpoint modes
– Fixing noise violations at the source reduces number of changes
required
– Fixes only when noise glitch can cause a failure
– Noise violations targeted are violating endpoints. Use
report_at_endpoint mode:
set_noise_parameters -analysis_mode
report_at_endpoint

SNUG 2015 Synopsys Confidential 43


ECO for Noise Usage
fix_eco_drc
-physical_mode Specifies the mode of operation. Available
values are open_site , occupied_site and
none.
The default value is none which implies non-
physical operation.
-type max_transition | max_capacitance |
max_fanout | noise

-methods
-buffer_list
-setup_margin
-hold_margin
-current_library
-verbose

• Added –type noise to fix_eco_drc


• No change in other options
SNUG 2015 Synopsys Confidential 44
Physical Aware Noise ECO Fixing
Example Flow
check_noise –include {noise_driver noise_immunity} Prepare design for
update_noise
noise analysis
fix_eco_drc -physical_mode open_site \
-type noise \
-method {size_cell insert_buffer} \
-buffer_list $eco_noise_buffer_list
-verbose

Information: Starting physically aware fixing in open_site mode...


Log file confirming
Information: Starting DRC fix iteration 1 at [Date]... start of noise fixing
Information: Analyzing scenarios...
...
Information: 63 violating endpoints located in the mode2 scenario... (PTECO-023)
Information: 84 violating endpoints located in all scenarios... (PTECO-024)

Violation Totals:
Scenario Count Initial noise violation
-------------------------------------------------------- count
mode1 21
mode2 63
...
Final ECO Summary:
--------------------------------------------------------
Number of size_cell commands 154
Number of insert_buffer commands 75 Final ECO sumamry
Total number of commands 229
Area increased by cell sizing 14.04
Area increased by buffer insertion 25.60
Total area increased 39.64

SNUG 2015 Synopsys Confidential 45


Advanced ECO in PrimeTime
Key Features

 Physical Aware ECO

 Multiply Instantiated Module (MIM) ECO

 ECO for Power Recovery

 ECO for Noise Fixing

SNUG 2015 46
Thank You

SNUG 2015 47

You might also like