Skip to main content
Network-on-Chip (NoC) is used as the communication network in many applications that use multiple cores or Processing Elements (PEs). Routers play a crucial role as connectors since a faulty router can degrade the NoC's performance and... more
Network-on-Chip (NoC) is a paradigm proposed to satisfy the communication demands of future Systems-on-Chip (SoC). The main components of an NoC are the network adapters, routing nodes, and network interconnect links. Reducing area and ...
Network on chip (NoC) is emerging as a new trend for a System on chip (SoC) design. The key advantages of NoC are high performance and scalability. Despite those improvements over the conventional shared-bus based systems, NoC are not... more
—this paper presents AMBA AXI-4, supports 16 masters and 16 slaves interfacing, with single master single slave talking to each other at a time. The AMBA AXI-4 system consists of master, slave and bus (arbiters and decoders). The... more
Self-healing is increasingly becoming a promising approach to designing reliable digital systems, and it refers to the ability of a system to detect faults or failures and fix them through healing or repairing. Digital systems with... more
Network-on-chip (NoC) architecture provides the communication infrastructure for system-on-chip (SoC) design. The architecture, size, and algorithm dominate the performance of NoC and influence on the design of arbiters in the switch.... more
Network-on-Chip (NoC) is known as a scalable and high performance interconnect in Systems-on-Chip (SoCs) with multiple processing elements (PEs). Recently, the design paradigm of SoCs has shifted from static to dynamic run-time... more
The architecture of networks on chip (NOC) highly affects the overall performance of the system on chip (SOC). A new topology for chip interconnection called Torus connected Rings is proposed. Due to the presence of multiple disjoint... more
Neural networks are increasingly being used in many applications because of their ability to solve complex problems. In order to increase the processing speed of neu-ral networks, hardware-based techniques are being actively researched in... more
The Network on Chip (NoC) has developed as a substitute for wired or interconnection network for System on Chip (SoC). It acts as a way to reduce existing problems of interference, bandwidth desideratum, and potential and makes clock... more
Network on chip architecture provides a way to design complex integrated circuits with an objective to reduce connection issues, design productivity, and energy utilization. Network performance of a network is calculated by various... more
Sistemas intra-chip (SoCs – Systems-on-Chip) são o atual paradigma utilizado na implementação de sistemas embarcados. O poder computacional destas plataformas possibilita a execução simultânea de diversas aplicações com diferentes... more
The significant decline in the cost of genome sequencing has dramatically changed the typical bioinformatics pipeline for analysing sequencing data. Where traditionally, the computational challenge of sequencing is now secondary to... more
Three-Dimensional (3-D) ICs are able to obtain significant performance benefits over two-dimensional (2-D) ICs based on the electrical and mechanical properties resulting from the new geometrical arrangement. The arrangement of 3-D ICs... more
Efficiency of Network-on-Chip (NoC) based multi-processor systems largely depends on optimal placement of tasks onto processing elements (PEs). Although number of task mapping heuristics have been proposed in literature, selecting best... more
We propose to partition links in a network-on-chip into multiple segments and use spare wires at the level of each segment to address permanent errors due to manufacturing or wear out defects. Because different segments of the spare wires... more
The demand for faster processors having high processing capability over area ratio is increasing. The topologies play a major role in the area and network latency. In this paper, we have investigated scope for extending 2-D topologies for... more
In this paper, we propose a new fault-tolerant and congestion-aware adaptive routing algorithm for Networks-on-Chip (NoCs). The proposed algorithm is based on the ball and-string model and employs a distributed approach based on... more
—In multiprocessor system-on-chip (MPSoC), a CPU can access physical resources, such as on-chip memory or I/O devices. Along with normal requests, malevolent ones, generated by malicious processes running in one or more CPUs, could occur.... more
Hierarchical topologies are frequently proposed for large NetworksonChip (NoCs). Hierarchical architectures utilize, at the upper levels, long links of the order of the die size. RC delays of long links might reach dozens of... more
We formulate the problem of energy consumption and reliability oriented application mapping on regular Network-on-Chip topologies. We propose a novel branch-and-bound based algorithm to solve this problem. Reliability is estimated by an... more
Network-on-Chip (NoC) is a paradigm proposed to satisfy the communication demands of future Systems-on-Chip (SoC). The main components of an NoC are the network adapters, routing nodes, and network interconnect links. Reducing area and... more
Network on chip (NoC) is an emerging communication paradigm for integrating a large number of Intellectual Property (IP) cores on a single silicon chip. The results could benefit from adoption of NoCs is constraint by the performance... more
This paper proposes a 4-Bit full adder using FinFET at 45nm technology. The CMOS has been used widely in current technology. But scaling the CMOS will cause the short channel effects such as DIBL, GIDL, Sub threshold swing, channel... more
A lot many routing algorithms have been proposed for MPSoC networks. But most of them focus only on 2D-network topologies [2].But as the demand for faster processors having high processing power over area ratio is increasing we have to... more
A Network-on-Chips (NoCs) is rapid promising for an on-chip alternative designed in support of many-core System-on-Chips (SoCs). In spite of this, developing an increased overall performance low latency Network on chip using low area... more
— The continuing development of the silicon technology leads to systems with hundreds of processors interconnected by a network on chip (NoC-based MPSoCs). On one hand, the nanotechnology enables to develop such complex systems, but, on... more
As the number of modules grows, performance scalability of planar topology Networks-on-Chip (NoCs) becomes limited due to increasing hop-distances, since long paths involve more routers. The growing hop-distance affects both end-to-end... more
The design of more complex systems becomes an increasingly difficult task because of different issues related to latency, design reuse, throughput and cost that has to be considered while designing. In Real-time applications there are... more
Traditional System-on-Chip (SoC) design employed shared buses for data transfer among various subsystems. As SoCs become more complex involving a larger number of subsystems, traditional bus-based architecture is giving way to a new... more
Chip Technology of enterprises interactions has emerged in the consumer world. The combination of evolving business drivers, changing customers' demands and the evolution of enabling chip technology posed the challenge to the management... more
Networks on chip (NoCs) draw on concepts inherited from distributed systems and computer networks subject areas to interconnect IP cores in a structured and scalable way. Congestion in NoCs reduces the overall system performance. This... more
This study proposes a new router architecture to improve the performance of dynamic allocation of virtual channels. The proposed router is designed to reduce the hardware complexity and to improve power and area consumption,... more
As the number of applications and programmable units in CMPs and MPSoCs increases, the Network-on-Chip (NoC) encounters diverse and time dependent traffic loads. This trend motivates the introduction of NoC load-balanced, adaptive routing... more
We propose a novel cost-effective long-range NoC interconnect design based on current-mode signaling. The proposed CMOS based long-range link reduces the communication delay of long wires significantly without using traditional pipelined... more