KR20200006620A - 텅스텐 피처 충진 - Google Patents
텅스텐 피처 충진 Download PDFInfo
- Publication number
- KR20200006620A KR20200006620A KR1020207000199A KR20207000199A KR20200006620A KR 20200006620 A KR20200006620 A KR 20200006620A KR 1020207000199 A KR1020207000199 A KR 1020207000199A KR 20207000199 A KR20207000199 A KR 20207000199A KR 20200006620 A KR20200006620 A KR 20200006620A
- Authority
- KR
- South Korea
- Prior art keywords
- feature
- tungsten
- layer
- tungsten layer
- bulk
- Prior art date
Links
- 229910052721 tungsten Inorganic materials 0.000 title claims abstract description 625
- 239000010937 tungsten Substances 0.000 title claims abstract description 621
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims abstract description 596
- 238000000034 method Methods 0.000 claims abstract description 258
- 230000008021 deposition Effects 0.000 claims abstract description 201
- 239000010410 layer Substances 0.000 claims description 444
- 238000000151 deposition Methods 0.000 claims description 270
- 238000005530 etching Methods 0.000 claims description 195
- 239000000758 substrate Substances 0.000 claims description 88
- 230000006911 nucleation Effects 0.000 claims description 82
- 238000010899 nucleation Methods 0.000 claims description 82
- 239000011800 void material Substances 0.000 claims description 32
- 230000015572 biosynthetic process Effects 0.000 claims description 24
- 230000004888 barrier function Effects 0.000 claims description 19
- 238000011065 in-situ storage Methods 0.000 claims description 17
- 150000003254 radicals Chemical class 0.000 claims description 16
- 238000009616 inductively coupled plasma Methods 0.000 claims description 8
- 239000012790 adhesive layer Substances 0.000 claims description 6
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 claims description 3
- 238000011049 filling Methods 0.000 abstract description 170
- 229910052710 silicon Inorganic materials 0.000 abstract description 13
- 239000010703 silicon Substances 0.000 abstract description 13
- 230000010354 integration Effects 0.000 abstract description 5
- 230000008569 process Effects 0.000 description 128
- 210000002381 plasma Anatomy 0.000 description 96
- 229910052796 boron Inorganic materials 0.000 description 84
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 82
- 239000000463 material Substances 0.000 description 78
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 62
- 238000006243 chemical reaction Methods 0.000 description 55
- 239000002243 precursor Substances 0.000 description 47
- 238000005229 chemical vapour deposition Methods 0.000 description 41
- -1 tungsten nitride Chemical class 0.000 description 39
- 239000010936 titanium Substances 0.000 description 37
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 36
- 239000011737 fluorine Substances 0.000 description 35
- 229910052731 fluorine Inorganic materials 0.000 description 35
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 34
- 239000003638 chemical reducing agent Substances 0.000 description 34
- 230000002401 inhibitory effect Effects 0.000 description 27
- 239000007789 gas Substances 0.000 description 26
- 238000012545 processing Methods 0.000 description 26
- 230000005764 inhibitory process Effects 0.000 description 24
- 238000000231 atomic layer deposition Methods 0.000 description 23
- 239000000126 substance Substances 0.000 description 23
- 150000001875 compounds Chemical class 0.000 description 22
- 230000001629 suppression Effects 0.000 description 22
- 230000036961 partial effect Effects 0.000 description 20
- 229910052719 titanium Inorganic materials 0.000 description 19
- 239000000376 reactant Substances 0.000 description 17
- 238000010586 diagram Methods 0.000 description 16
- 229910052757 nitrogen Inorganic materials 0.000 description 16
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 15
- 238000012546 transfer Methods 0.000 description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 10
- 230000006798 recombination Effects 0.000 description 10
- 238000005215 recombination Methods 0.000 description 10
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 10
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 9
- 229910052736 halogen Inorganic materials 0.000 description 9
- 150000002367 halogens Chemical class 0.000 description 9
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 8
- 239000012298 atmosphere Substances 0.000 description 8
- 238000005137 deposition process Methods 0.000 description 8
- 239000001257 hydrogen Substances 0.000 description 8
- 229910052739 hydrogen Inorganic materials 0.000 description 8
- 235000012431 wafers Nutrition 0.000 description 8
- 229910052799 carbon Inorganic materials 0.000 description 7
- 238000002161 passivation Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 6
- 238000000137 annealing Methods 0.000 description 6
- 238000013459 approach Methods 0.000 description 6
- 238000009792 diffusion process Methods 0.000 description 6
- 239000000945 filler Substances 0.000 description 6
- 125000001153 fluoro group Chemical group F* 0.000 description 6
- 229910052732 germanium Inorganic materials 0.000 description 6
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 6
- 239000011574 phosphorus Substances 0.000 description 6
- 229910052698 phosphorus Inorganic materials 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 229910000085 borane Inorganic materials 0.000 description 5
- 239000006227 byproduct Substances 0.000 description 5
- 239000000460 chlorine Substances 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- 230000002829 reductive effect Effects 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical class B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 4
- 238000010494 dissociation reaction Methods 0.000 description 4
- 230000005593 dissociations Effects 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 230000009466 transformation Effects 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 239000010941 cobalt Substances 0.000 description 3
- 229910017052 cobalt Inorganic materials 0.000 description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical group [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000005429 filling process Methods 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 3
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 3
- RLJUCKFARAQBDA-UHFFFAOYSA-N C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound C(C)C1(C=CC=C1)[W](N=O)(=C=O)=C=O RLJUCKFARAQBDA-UHFFFAOYSA-N 0.000 description 2
- OAMOJYZDVHZSDW-UHFFFAOYSA-N CC1(C=CC=C1)[W](N=O)(=C=O)=C=O Chemical compound CC1(C=CC=C1)[W](N=O)(=C=O)=C=O OAMOJYZDVHZSDW-UHFFFAOYSA-N 0.000 description 2
- 206010053759 Growth retardation Diseases 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 238000001636 atomic emission spectroscopy Methods 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- DOYIBAKSKZZYPC-UHFFFAOYSA-N cyclopenta-1,3-diene;nickel(2+);prop-1-ene Chemical compound [Ni+2].[CH2-]C=C.C=1C=C[CH-]C=1 DOYIBAKSKZZYPC-UHFFFAOYSA-N 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 230000003111 delayed effect Effects 0.000 description 2
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 150000002221 fluorine Chemical class 0.000 description 2
- 231100000001 growth retardation Toxicity 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000003112 inhibitor Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000001404 mediated effect Effects 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 238000005121 nitriding Methods 0.000 description 2
- 238000000399 optical microscopy Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 150000004756 silanes Chemical class 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- 239000011593 sulfur Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 2
- 238000005979 thermal decomposition reaction Methods 0.000 description 2
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 2
- 238000004876 x-ray fluorescence Methods 0.000 description 2
- CGHIBGNXEGJPQZ-UHFFFAOYSA-N 1-hexyne Chemical group CCCCC#C CGHIBGNXEGJPQZ-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 208000012766 Growth delay Diseases 0.000 description 1
- 238000004566 IR spectroscopy Methods 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 1
- 229910004529 TaF 5 Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- 239000007983 Tris buffer Substances 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- OQPDWFJSZHWILH-UHFFFAOYSA-N [Al].[Al].[Al].[Ti] Chemical compound [Al].[Al].[Al].[Ti] OQPDWFJSZHWILH-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000003282 alkyl amino group Chemical group 0.000 description 1
- 125000005277 alkyl imino group Chemical group 0.000 description 1
- KKAXNAVSOBXHTE-UHFFFAOYSA-N boranamine Chemical class NB KKAXNAVSOBXHTE-UHFFFAOYSA-N 0.000 description 1
- JEEHQNXCPARQJS-UHFFFAOYSA-N boranylidynetungsten Chemical compound [W]#B JEEHQNXCPARQJS-UHFFFAOYSA-N 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- FQNHWXHRAUXLFU-UHFFFAOYSA-N carbon monoxide;tungsten Chemical group [W].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-].[O+]#[C-] FQNHWXHRAUXLFU-UHFFFAOYSA-N 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- AFYPFACVUDMOHA-UHFFFAOYSA-N chlorotrifluoromethane Chemical compound FC(F)(F)Cl AFYPFACVUDMOHA-UHFFFAOYSA-N 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- VSLPMIMVDUOYFW-UHFFFAOYSA-N dimethylazanide;tantalum(5+) Chemical compound [Ta+5].C[N-]C.C[N-]C.C[N-]C.C[N-]C.C[N-]C VSLPMIMVDUOYFW-UHFFFAOYSA-N 0.000 description 1
- BIRTWROHEBFSNP-UHFFFAOYSA-N dimethylazanide;tungsten(2+) Chemical compound [W+2].C[N-]C.C[N-]C BIRTWROHEBFSNP-UHFFFAOYSA-N 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 1
- 150000002429 hydrazines Chemical class 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 238000000465 moulding Methods 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 1
- QYSGYZVSCZSLHT-UHFFFAOYSA-N octafluoropropane Chemical compound FC(F)(F)C(F)(F)C(F)(F)F QYSGYZVSCZSLHT-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 229960004065 perflutren Drugs 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000027756 respiratory electron transport chain Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 230000002269 spontaneous effect Effects 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- BFKJFAAPBSQJPD-UHFFFAOYSA-N tetrafluoroethene Chemical group FC(F)=C(F)F BFKJFAAPBSQJPD-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 229910021324 titanium aluminide Inorganic materials 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 238000000844 transformation Methods 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N trifluoromethane acid Natural products FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- LENZDBCJOHFCAS-UHFFFAOYSA-N tris Chemical compound OCC(N)(CO)CO LENZDBCJOHFCAS-UHFFFAOYSA-N 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76879—Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
-
- H01L21/205—
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76874—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/30—DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
- H10B12/48—Data lines or contacts therefor
- H10B12/488—Word lines
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
- Plasma & Fusion (AREA)
Abstract
Description
도 2 및 도 2a는 텅스텐으로 피처들을 인사이드-아웃 (inside-out) 충진하는 방법들에서의 특정 동작들을 예시하는 프로세스 흐름도들이다.
도 3a 내지 도 4b는 인사이드-아웃 피처 충진의 다양한 스테이지들에서의 피처들을 도시하는 개략도들이다.
도 5a 내지 도 5d는 다양한 온도들에서의 텅스텐 (W), 티타늄 (Ti) 및 티타늄 질화물 (TiN) 에칭 레이트들 및 에칭 선택도들을 예시하는 그래프들이다.
도 6은 리세스 에칭된 텅스텐 층을 포함하는 피처의 개략도이다.
도 7은 리세스 에칭의 다양한 스테이지들에서의 피처의 개략도이다.
도 8은 리세스 에칭을 채용한 피처 충진의 다양한 스테이지에서의 피처의 개략도이다.
도 9a는 에칭 컨포멀성 (conformality) 조절을 예시하는 에칭의 다양한 스테이지들에서의 피처들의 개략도들이다.
도 9b는 상이한 에천트 플로우들에 대한 에칭 온도의 함수로서 텅스텐 에칭 레이트를 나타내는 그래프이다.
도 10은 비-컨포멀 에칭을 채용하는 피처 충진의 다양한 스테이지들에서의 소형 피처의 개략도이다.
도 11은 텅스텐 핵생성의 선택적 억제를 채용한 피처 충진의 다양한 스테이지들에서의 피처의 개략도이다.
도 12는 고 전력 에칭 및 저 전력 에칭 후에 증착된 막들에 대한 시간 벌크 층 성장 지연을 나타내는 그래프이다.
도 13a는 텅스텐으로의 붕소의 변환을 채용한 피처 충진의 다양한 스테이지들에서의 피처의 개략도이다.
도 13b는 텅스텐으로의 붕소의 부분적 변환을 사용한 피처 충진 방법들에서의 특정 동작들을 예시하는 프로세스 흐름도들이다.
도 13c 및 도 13d는 무불소 (fluorine-free) 텅스텐 함유 층들을 사용한 피처 충진 방법들에서의 특정 동작들을 예시하는 프로세스 흐름도들이다.
도 14 내지 도 23은 피처 충진의 다양한 스테이지들에서의 3차원 수직 NAND (3D VNAND 피처들의 개략도들이다.
도 24 내지 도 25b는 본 명세서에서 기술되는 방법들을 실시하기에 적합한 장치의 실례들을 도시하는 개략도들이다.
Claims (29)
- 하나 이상의 피처 개구들, 피처 측벽들, 상기 피처 측벽들 상의 언더 층 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계;
상기 피처를 제 1 벌크 텅스텐 층으로 충진하도록 상기 피처 내에 텅스텐을 컨포멀하게 증착하는 단계;
상기 피처 내에 에칭된 텅스텐 층이 남도록 상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계로서, 상기 피처 측벽들 상의 상기 언더 층을 남게 하면서 하나 이상의 피처 측벽들로부터 텅스텐을 제거하는 것을 포함하는, 상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계; 및
상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하고,
상기 선택적 증착은, 텅스텐이 제거된 상기 피처 측벽들 상의 상기 언더 층에 대해 상기 에칭된 텅스텐 층 상에서 우선적으로 수행되는, 방법. - 제 1 항에 있어서,
상기 텅스텐을 컨포멀하게 증착하는 단계는 보이드 (void) 가 상기 제 1 벌크 텅스텐 층 내에 형성되게 하는 단계를 포함하는, 방법. - 제 2 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 보이드를 개방하는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 텅스텐을 컨포멀하게 증착하는 단계는 상기 제 1 벌크 텅스텐 층 내에서 상기 피처의 축을 따라서 연장되는 심 (seam) 이 형성되게 하는 단계를 포함하는, 방법. - 제 4 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 심 형성 지점까지 에칭하는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계는 중간의 핵생성 층을 형성하지 않고서 상기 에칭된 텅스텐 층 상에 상기 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 피처는 상기 기판의 플레인 (plane) 에 대해서 수직으로 배향된 (oriented), 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 피처는 상기 기판의 플레인에 대해서 수평으로 배향된, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 라디칼 종들에 노출시키며 실질적으로 이온성 종들에는 노출시키지 않는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 원격에서 생성된 플라즈마에 노출시키는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을 인 시츄 (in-situ) 플라즈마에 노출시키는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐 층을, 용량적으로 커플링된 플라즈마 (CCP) 생성기, 유도적으로 커플링된 플라즈마 (ICP) 생성기, 트랜스포머 커플링된 플라즈마 (TCP) 생성기, 전자 사이클로트론 공진 (ECR) 생성기 또는 헬리콘 플라즈마 생성기를 사용하여서 생성된 플라즈마에 노출시키는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐의 비컨포멀 에칭 (non-conformal etching) 을 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 제 1 벌크 텅스텐의 컨포멀 에칭 (conformal etching) 을 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 피처를 라이닝하는 언더-층 (under-layer) 에 대해서 텅스텐을 선택적으로 에칭하는 단계를 포함하며,
상기 제 1 벌크 텅스텐 층은 상기 언더-층 상에 증착된, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계는 상기 피처를 라이닝하는 언더-층 (under-layer) 에 대해서 텅스텐을 비선택적으로 에칭하는 단계를 포함하며,
상기 제 1 벌크 텅스텐 층은 상기 언더-층 상에 증착된, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
접착 층, 라이너 층, 및 베리어 층으로부터 선택된 박층을 상기 제 2 벌크 텅스텐 층 상에 증착하는 단계를 포함하는, 방법. - 제 17 항에 있어서,
상기 박층 상에 제 3 벌크 텅스텐 층을 증착하는 단계를 포함하는, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 제 2 벌크 텅스텐 층은 상기 피처에 대해서 비컨포멀한, 방법. - 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
컨포멀하게 증착하는 단계 동안 상기 피처는 100 % 보다 큰 스텝 커버리지를 갖게 텅스텐으로 충진되는, 방법. - 하나 이상의 피처 개구들, 피처 측벽들, 상기 피처 측벽들 상의 언더 층, 피처 내부 및 상기 피처의 길이를 따라서 연장된 피처 축을 갖는 피처를 포함하는 기판을 제공하는 단계;
제 1 벌크 텅스텐 층으로 상기 피처를 충진하도록 상기 피처 내에 텅스텐을 증착하는 단계로서, 그레인 성장은 상기 피처 축에 대해서 실질적으로 직교하는, 상기 제 1 벌크 텅스텐 층을 증착하는 단계;
상기 피처 측벽들 상의 상기 언더 층을 남게 하면서 에칭된 텅스텐 층이 상기 피처에 남도록 상기 제 1 벌크 텅스텐 층의 일부를 제거하는 단계; 및
상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계로서, 그레인 성장이 상기 피처 축에 대해서 실질적으로 평행한, 상기 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하고,
상기 선택적 증착은, 텅스텐이 제거된 상기 피처 측벽들 상의 상기 언더 층에 대해 상기 에칭된 텅스텐 층 상에서 우선적으로 수행되는, 방법. - 하나 이상의 피처 개구들, 피처 측벽들, 상기 피처 측벽들 상의 언더 층 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계;
상기 피처를 제 1 벌크 텅스텐 층으로 충진하도록 상기 피처 내에 텅스텐을 컨포멀하게 증착하는 단계;
상기 텅스텐의 일부가 제거된 후에 상기 기판을 수용하는 단계로서, 상기 수용된 기판의 상기 피처는 에칭된 텅스텐 층을 포함하고, 상기 피처 측벽들 상의 상기 언더 층이 남아 있는, 상기 기판을 수용하는 단계; 및
상기 에칭된 텅스텐 층 상에 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계로서, 상기 제 2 벌크 텅스텐 층은 상기 피처에 대해서 비컨포멀한, 상기 제 2 벌크 텅스텐 층을 선택적으로 증착하는 단계를 포함하고,
상기 선택적 증착은, 텅스텐이 제거된 상기 피처 측벽들 상의 상기 언더 층에 대해 상기 에칭된 텅스텐 층 상에서 우선적으로 수행되는, 방법. - 피처 개구, 피처 측벽들 및 폐쇄된 피처 단부를 갖는 피처를 포함하는 기판을 수용하는 단계로서, 상기 피처는 컨포멀 벌크 텅스텐 층으로 충진되며, 상기 컨포멀 벌크 텅스텐 층은 그 내에 형성된 보이드 및/또는 심을 포함하는, 상기 기판을 수용하는 단계; 및
상기 컨포멀 벌크 텅스텐 층의 일부를 에칭하는 단계를 포함하며,
상기 에칭하는 단계는 텅스텐이 실질적으로 상기 피처의 상기 폐쇄된 피처 단부에서만 남도록 상기 피처의 상기 피처 측벽들로부터 텅스텐을 제거하는 단계를 포함하는, 방법. - 2 개의 피처 개구들, 피처 측벽들 및 피처 내부를 갖는 피처를 포함하는 기판을 수용하는 단계로서, 상기 피처는 컨포멀 벌크 텅스텐 층으로 충진되며, 상기 컨포멀 벌크 텅스텐 층은 그 내에 형성된 보이드 및/또는 심을 포함하는, 상기 기판을 수용하는 단계; 및
상기 컨포멀 벌크 텅스텐 층의 일부를 에칭하는 단계를 포함하며,
상기 에칭하는 단계는 텅스텐이 실질적으로 상기 피처 내부에서만 남도록 상기 피처 개구들 근처에서 상기 피처의 상기 피처 측벽들로부터 텅스텐을 제거하는 단계를 포함하는, 방법. - 하나 이상의 피처 개구들, 피처 측벽들 및 피처 내부를 갖는 피처를 포함하는 기판을 제공하는 단계;
상기 피처 내에 제 1 벌크 텅스텐 층을 증착하는 단계;
에칭된 텅스텐 층을 형성하도록 상기 제 1 벌크 텅스텐 층을 에칭하는 단계로서, 상기 제 1 벌크 텅스텐 층을 에칭하는 단계는 상기 피처 내의 실질적으로 모든 텅스텐을 상기 하나 이상의 피처 개구들로부터 연장되는 리세스 깊이까지 제거하는 단계를 포함하는, 상기 제 1 벌크 텅스텐 층을 에칭하는 단계; 및
상기 피처 내에 제 2 벌크 텅스텐 층을 증착하는 단계를 포함하고,
상기 피처는 협폭부 (constriction) 를 포함하며,
상기 리세스 깊이는 상기 협폭부를 넘어서 연장되는, 방법. - 제 25 항에 있어서,
상기 제 1 벌크 텅스텐 층은 상기 피처를 부분적으로 충진하는, 방법. - 제 26 항에 있어서,
상기 제 1 벌크 텅스텐 층을 에칭하는 단계는 상기 제 1 벌크 텅스텐 층의 적어도 일 영역의 측방향 에칭을 포함하는, 방법. - 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
상기 제 2 벌크 텅스텐 층은 상기 에칭된 텅스텐 층 상에 선택적으로 증착되는, 방법. - 제 25 항 내지 제 27 항 중 어느 한 항에 있어서,
상기 제 2 벌크 텅스텐 층은 상기 피처 내에 컨포멀하게 증착되는, 방법.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201261616377P | 2012-03-27 | 2012-03-27 | |
US61/616,377 | 2012-03-27 | ||
PCT/US2013/034167 WO2013148880A1 (en) | 2012-03-27 | 2013-03-27 | Tungsten feature fill |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020147030125A Division KR102064627B1 (ko) | 2012-03-27 | 2013-03-27 | 텅스텐 피처 충진 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20200006620A true KR20200006620A (ko) | 2020-01-20 |
KR102131581B1 KR102131581B1 (ko) | 2020-07-08 |
Family
ID=49261216
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020207000199A KR102131581B1 (ko) | 2012-03-27 | 2013-03-27 | 텅스텐 피처 충진 |
KR1020147030125A KR102064627B1 (ko) | 2012-03-27 | 2013-03-27 | 텅스텐 피처 충진 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020147030125A KR102064627B1 (ko) | 2012-03-27 | 2013-03-27 | 텅스텐 피처 충진 |
Country Status (6)
Country | Link |
---|---|
US (6) | US9653353B2 (ko) |
JP (1) | JP6273257B2 (ko) |
KR (2) | KR102131581B1 (ko) |
CN (3) | CN113862634A (ko) |
TW (1) | TWI602283B (ko) |
WO (1) | WO2013148880A1 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2024243143A1 (en) * | 2023-05-23 | 2024-11-28 | Lam Research Corporation | Inhibited atomic layer deposition in trench features |
Families Citing this family (284)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US9159571B2 (en) * | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US20100267230A1 (en) | 2009-04-16 | 2010-10-21 | Anand Chandrashekar | Method for forming tungsten contacts and interconnects with small critical dimensions |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US9034768B2 (en) | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8912574B2 (en) * | 2010-12-14 | 2014-12-16 | International Business Machines Corporation | Device isolation with improved thermal conductivity |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
KR102131581B1 (ko) | 2012-03-27 | 2020-07-08 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
JP6494940B2 (ja) * | 2013-07-25 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 異なるサイズのフィーチャへのボイドフリータングステン充填 |
US9748105B2 (en) * | 2013-08-16 | 2017-08-29 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (WF6) etchback |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US20150111374A1 (en) * | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US20160379879A1 (en) * | 2013-11-27 | 2016-12-29 | Tokyo Electron Limited | Tungsten film forming method |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) * | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
TWI672737B (zh) * | 2013-12-27 | 2019-09-21 | 美商蘭姆研究公司 | 允許低電阻率鎢特徵物填充之鎢成核程序 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
JP6269175B2 (ja) * | 2014-03-05 | 2018-01-31 | 株式会社デンソー | 半導体装置の製造方法 |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
KR20150110965A (ko) * | 2014-03-21 | 2015-10-05 | 에스케이하이닉스 주식회사 | 반도체 메모리 소자 및 그 제조방법 |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9390939B2 (en) * | 2014-05-29 | 2016-07-12 | Globalfoundries Inc. | Methods of forming MIS contact structures for semiconductor devices and the resulting devices |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
CN104072138B (zh) * | 2014-06-18 | 2015-10-28 | 河海大学 | 一种碳化钨-立方氮化硼复合材料及其制备方法 |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
KR102171265B1 (ko) * | 2014-07-08 | 2020-10-28 | 삼성전자 주식회사 | 금속 마스크를 이용한 패터닝 방법 및 그 패터닝 방법을 포함한 반도체 소자 제조방법 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US10176996B2 (en) * | 2014-08-06 | 2019-01-08 | Globalfoundries Inc. | Replacement metal gate and fabrication process with reduced lithography steps |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US10453743B2 (en) | 2014-10-17 | 2019-10-22 | Acm Research (Shanghai) Inc. | Barrier layer removal method and semiconductor structure forming method |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9666507B2 (en) * | 2014-11-30 | 2017-05-30 | United Microelectronics Corp. | Through-substrate structure and method for fabricating the same |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9953984B2 (en) * | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US9502303B2 (en) * | 2015-04-09 | 2016-11-22 | United Microelectronics Corp. | Method for manufacturing semiconductor device with a barrier layer having overhung portions |
US9576788B2 (en) | 2015-04-24 | 2017-02-21 | Applied Materials, Inc. | Cleaning high aspect ratio vias |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
JP6478813B2 (ja) * | 2015-05-28 | 2019-03-06 | 東京エレクトロン株式会社 | 金属膜の成膜方法 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
KR102447489B1 (ko) | 2015-09-02 | 2022-09-27 | 삼성전자주식회사 | 반도체 메모리 소자 |
JP6473405B2 (ja) * | 2015-10-05 | 2019-02-20 | 浜松ホトニクス株式会社 | 配線構造体の製造方法 |
US20170104000A1 (en) | 2015-10-13 | 2017-04-13 | Joo-Hee PARK | Vertical memory devices |
US9449921B1 (en) | 2015-12-15 | 2016-09-20 | International Business Machines Corporation | Voidless contact metal structures |
TWI720106B (zh) * | 2016-01-16 | 2021-03-01 | 美商應用材料股份有限公司 | Pecvd含鎢硬遮罩膜及製造方法 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10214807B2 (en) * | 2016-06-02 | 2019-02-26 | Lam Research Corporation | Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack |
TWI729457B (zh) * | 2016-06-14 | 2021-06-01 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
CN106128996A (zh) * | 2016-06-24 | 2016-11-16 | 武汉新芯集成电路制造有限公司 | 一种无缝多晶硅插塞的形成方法 |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
JP6751631B2 (ja) | 2016-09-13 | 2020-09-09 | 東京エレクトロン株式会社 | 基板の凹部をタングステンで充填する方法 |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
TWI719262B (zh) | 2016-11-03 | 2021-02-21 | 美商應用材料股份有限公司 | 用於圖案化之薄膜的沉積與處理 |
CN109923662A (zh) | 2016-11-08 | 2019-06-21 | 应用材料公司 | 用于图案化应用的自底向上的柱状体的几何控制 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10211099B2 (en) * | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
TW201839897A (zh) * | 2017-02-22 | 2018-11-01 | 美商應用材料股份有限公司 | 自對準接觸圖案化之臨界尺寸控制 |
US10103056B2 (en) * | 2017-03-08 | 2018-10-16 | Lam Research Corporation | Methods for wet metal seed deposition for bottom up gapfill of features |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
JP7224335B2 (ja) | 2017-04-10 | 2023-02-17 | ラム リサーチ コーポレーション | モリブデンを含有する低抵抗膜 |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
WO2018200212A1 (en) | 2017-04-25 | 2018-11-01 | Applied Materials, Inc. | Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation |
JP2018199863A (ja) * | 2017-05-02 | 2018-12-20 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | タングステン柱を形成する方法 |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
KR102399497B1 (ko) | 2017-05-29 | 2022-05-19 | 에스케이하이닉스 주식회사 | 매립게이트구조를 구비한 반도체장치 및 그 제조 방법 |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10840186B2 (en) | 2017-06-10 | 2020-11-17 | Applied Materials, Inc. | Methods of forming self-aligned vias and air gaps |
TWI719316B (zh) * | 2017-06-12 | 2021-02-21 | 美商應用材料股份有限公司 | 利用鎢氧化還原之無縫鎢填充 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
CN116377420A (zh) * | 2017-06-23 | 2023-07-04 | 默克专利有限公司 | 用于选择性膜生长的原子层沉积方法 |
TW201906035A (zh) | 2017-06-24 | 2019-02-01 | 美商微材料有限責任公司 | 生產完全自我對準的介層窗及觸點之方法 |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
TW201908511A (zh) * | 2017-07-13 | 2019-03-01 | 美商應用材料股份有限公司 | 用於沉積鎢成核層的方法及設備 |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN111095488A (zh) * | 2017-08-14 | 2020-05-01 | 朗姆研究公司 | 三维竖直nand字线的金属填充过程 |
US10510602B2 (en) | 2017-08-31 | 2019-12-17 | Mirocmaterials LLC | Methods of producing self-aligned vias |
US10573555B2 (en) | 2017-08-31 | 2020-02-25 | Micromaterials Llc | Methods of producing self-aligned grown via |
WO2019050735A1 (en) | 2017-09-06 | 2019-03-14 | Micromaterials Llc | METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES |
US10269559B2 (en) * | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
CN107564861A (zh) * | 2017-09-29 | 2018-01-09 | 睿力集成电路有限公司 | 一种晶体管结构、存储单元、存储器阵列及其制备方法 |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10840405B2 (en) * | 2017-10-31 | 2020-11-17 | Sivananthan Laboratories, Inc. | Inductively coupled plasma for hydrogenation of type II superlattices |
US20200402846A1 (en) * | 2017-11-20 | 2020-12-24 | Lam Research Corporation | Self-limiting growth |
CN107946234A (zh) * | 2017-11-20 | 2018-04-20 | 睿力集成电路有限公司 | 半导体互连结构及其制备方法 |
KR102388313B1 (ko) | 2017-11-27 | 2022-04-19 | 삼성전자주식회사 | 수직형 반도체 소자 및 이의 제조 방법 |
JP7085824B2 (ja) * | 2017-11-28 | 2022-06-17 | 東京エレクトロン株式会社 | 成膜方法 |
JP7018748B2 (ja) * | 2017-11-28 | 2022-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜条件の算出方法 |
CN109868459B (zh) * | 2017-12-05 | 2022-11-25 | 北京北方华创微电子装备有限公司 | 一种半导体设备 |
CN110034017A (zh) | 2017-12-07 | 2019-07-19 | 微材料有限责任公司 | 用于使金属和阻挡层-衬垫可控凹陷的方法 |
US11761079B2 (en) | 2017-12-07 | 2023-09-19 | Lam Research Corporation | Oxidation resistant protective layer in chamber conditioning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
EP3499557A1 (en) | 2017-12-15 | 2019-06-19 | Micromaterials LLC | Selectively etched self-aligned via processes |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
TW201939628A (zh) | 2018-03-02 | 2019-10-01 | 美商微材料有限責任公司 | 移除金屬氧化物的方法 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10572697B2 (en) | 2018-04-06 | 2020-02-25 | Lam Research Corporation | Method of etch model calibration using optical scatterometry |
CN112005347A (zh) | 2018-04-10 | 2020-11-27 | 朗姆研究公司 | 抗蚀剂和蚀刻建模 |
US11921433B2 (en) | 2018-04-10 | 2024-03-05 | Lam Research Corporation | Optical metrology in machine learning to characterize features |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10669160B2 (en) * | 2018-04-30 | 2020-06-02 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Heterogeneous wet synthesis process for preparation of high purity tungsten pentahalide |
US11549175B2 (en) | 2018-05-03 | 2023-01-10 | Lam Research Corporation | Method of depositing tungsten and other metals in 3D NAND structures |
TW202002219A (zh) | 2018-05-08 | 2020-01-01 | 美商微材料有限責任公司 | 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程 |
TW202011547A (zh) | 2018-05-16 | 2020-03-16 | 美商微材料有限責任公司 | 用於產生完全自對準的通孔的方法 |
WO2019236350A1 (en) | 2018-06-08 | 2019-12-12 | Micromaterials Llc | A method for creating a fully self-aligned via |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US12014928B2 (en) | 2018-07-31 | 2024-06-18 | Lam Research Corporation | Multi-layer feature fill |
CN110875245B (zh) * | 2018-09-04 | 2023-06-16 | 北京北方华创微电子装备有限公司 | 用于填充孔洞或沟槽的薄膜沉积方法 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11037838B2 (en) * | 2018-09-18 | 2021-06-15 | Applied Materials, Inc. | In-situ integrated chambers |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
JP7195106B2 (ja) * | 2018-10-12 | 2022-12-23 | 東京エレクトロン株式会社 | 成膜方法及び基板処理システム |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
KR20210081436A (ko) | 2018-11-19 | 2021-07-01 | 램 리써치 코포레이션 | 텅스텐을 위한 몰리브덴 템플릿들 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN111244025A (zh) * | 2018-11-29 | 2020-06-05 | 北京北方华创微电子装备有限公司 | 填充方法 |
CN113166929A (zh) | 2018-12-05 | 2021-07-23 | 朗姆研究公司 | 无空隙低应力填充 |
CN113424300A (zh) | 2018-12-14 | 2021-09-21 | 朗姆研究公司 | 在3d nand结构上的原子层沉积 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210110886A (ko) * | 2019-01-28 | 2021-09-09 | 램 리써치 코포레이션 | 금속 막들의 증착 |
US10977405B2 (en) * | 2019-01-29 | 2021-04-13 | Lam Research Corporation | Fill process optimization using feature scale modeling |
US20220172987A1 (en) * | 2019-02-13 | 2022-06-02 | Lam Research Corporation | Tungsten feature fill with inhibition control |
CN113557320B (zh) | 2019-03-11 | 2024-08-27 | 朗姆研究公司 | 用于沉积含钼膜的前体 |
US11189633B2 (en) | 2019-03-21 | 2021-11-30 | Samsung Electronics Co., Ltd. | Semiconductor device and apparatus of manufacturing the same |
US11164938B2 (en) | 2019-03-26 | 2021-11-02 | Micromaterials Llc | DRAM capacitor module |
CN113710830A (zh) | 2019-04-11 | 2021-11-26 | 朗姆研究公司 | 高台阶覆盖率钨沉积 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
WO2020236749A1 (en) * | 2019-05-22 | 2020-11-26 | Lam Research Corporation | Nucleation-free tungsten deposition |
US12157945B2 (en) | 2019-08-06 | 2024-12-03 | Lam Research Corporation | Thermal atomic layer deposition of silicon-containing films |
WO2021030836A1 (en) | 2019-08-12 | 2021-02-18 | Lam Research Corporation | Tungsten deposition |
JP2022546404A (ja) | 2019-08-28 | 2022-11-04 | ラム リサーチ コーポレーション | 金属の堆積 |
JP7295749B2 (ja) | 2019-09-13 | 2023-06-21 | キオクシア株式会社 | 半導体装置の製造方法 |
US11133178B2 (en) * | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
WO2021076636A1 (en) * | 2019-10-15 | 2021-04-22 | Lam Research Corporation | Molybdenum fill |
US11069610B2 (en) * | 2019-10-15 | 2021-07-20 | Micron Technology, Inc. | Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems |
US20210123139A1 (en) * | 2019-10-29 | 2021-04-29 | Applied Materials, Inc. | Method and apparatus for low resistance contact interconnection |
KR20210062824A (ko) | 2019-11-22 | 2021-06-01 | 삼성전자주식회사 | 반도체 소자 및 그의 제조 방법 |
EP4091192A4 (en) | 2020-01-16 | 2024-07-03 | Entegris, Inc. | Method for etching or deposition |
US11742208B2 (en) * | 2020-03-25 | 2023-08-29 | Texas Instruments Incorporated | Method of reducing voids and seams in trench structures by forming semi-amorphous polysilicon |
US11417568B2 (en) * | 2020-04-10 | 2022-08-16 | Applied Materials, Inc. | Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill |
US11295989B2 (en) | 2020-05-26 | 2022-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structures for semiconductor devices |
US20210384035A1 (en) | 2020-06-04 | 2021-12-09 | Applied Materials, Inc. | Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics |
JP2022032210A (ja) | 2020-08-11 | 2022-02-25 | キオクシア株式会社 | 半導体記憶装置 |
KR20220021328A (ko) | 2020-08-13 | 2022-02-22 | 삼성전자주식회사 | 집적회로 소자 |
US12191198B2 (en) * | 2020-08-25 | 2025-01-07 | Applied Materials, Inc. | Low resistivity tungsten film and method of manufacture |
CN115836380A (zh) * | 2020-11-20 | 2023-03-21 | 朗姆研究公司 | 低电阻脉冲式cvd钨 |
US11515200B2 (en) * | 2020-12-03 | 2022-11-29 | Applied Materials, Inc. | Selective tungsten deposition within trench structures |
EP4033518B1 (en) * | 2021-01-25 | 2024-11-06 | Infineon Technologies Austria AG | Method for fabricating a semiconductor device using wet etching and dry etching and semiconductor device |
TW202309974A (zh) * | 2021-05-21 | 2023-03-01 | 美商蘭姆研究公司 | 高深寬比3d nand架構中的鎢字元線填充 |
US12104243B2 (en) * | 2021-06-16 | 2024-10-01 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
WO2023059381A1 (en) | 2021-10-05 | 2023-04-13 | Applied Materials, Inc. | Methods for forming low resistivity tungsten features |
TW202340505A (zh) * | 2021-12-07 | 2023-10-16 | 美商蘭姆研究公司 | 利用成核抑制的特徵部填充 |
CN118382719A (zh) * | 2021-12-13 | 2024-07-23 | 朗姆研究公司 | 特征中的大晶粒钨生长 |
US12176203B2 (en) * | 2022-01-11 | 2024-12-24 | Sandisk Technologies Llc | Methods and apparatuses for forming semiconductor devices containing tungsten layers using a tungsten growth suppressant |
US12217965B2 (en) * | 2022-01-11 | 2025-02-04 | Sandisk Technologies Llc | Methods and apparatuses for forming semiconductor devices containing tungsten layers using a tungsten growth suppressant |
US20230223267A1 (en) * | 2022-01-11 | 2023-07-13 | Sandisk Technologies Llc | Methods and apparatuses for forming semiconductor devices containing tungsten layers using a tungsten growth suppressant |
WO2023164413A1 (en) * | 2022-02-24 | 2023-08-31 | Lam Research Corporation | Low resistance molybdenum deposition for logic source/drain contacts |
US20230268223A1 (en) * | 2022-02-24 | 2023-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and methods of manufacture |
US12159804B2 (en) | 2022-03-09 | 2024-12-03 | Applied Materials, Inc. | Tungsten molybdenum structures |
CN115172268A (zh) * | 2022-07-04 | 2022-10-11 | 中微半导体设备(上海)股份有限公司 | 一种在高深宽比结构中沉积钨的方法及其半导体基片 |
TW202440979A (zh) * | 2022-11-30 | 2024-10-16 | 美商應用材料股份有限公司 | 低電阻率間隙填充 |
WO2024129962A1 (en) * | 2022-12-15 | 2024-06-20 | Lam Research Corporation | Low k dielectric gapfill |
WO2024177753A1 (en) * | 2023-02-20 | 2024-08-29 | Applied Materials, Inc. | Integration solution for nand deep contact gap fill |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04142061A (ja) * | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
JPH07147321A (ja) * | 1993-11-26 | 1995-06-06 | Nec Corp | 半導体装置の製造方法 |
JPH07226393A (ja) * | 1994-02-15 | 1995-08-22 | Sony Corp | ドライエッチング方法およびドライエッチング装置 |
KR20050087428A (ko) * | 2004-02-26 | 2005-08-31 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
KR20080001460A (ko) * | 2006-06-29 | 2008-01-03 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
KR20080061978A (ko) * | 2006-12-28 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 배선 형성방법 |
US20080254623A1 (en) * | 2001-05-22 | 2008-10-16 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
KR20090074560A (ko) * | 2008-01-02 | 2009-07-07 | 주식회사 하이닉스반도체 | 반도체소자의 텅스텐막 형성방법 |
JP2010251760A (ja) * | 2009-04-16 | 2010-11-04 | Novellus Systems Inc | 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法 |
KR20110108382A (ko) * | 2008-12-31 | 2011-10-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법 |
Family Cites Families (370)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
JPS5629648A (en) | 1979-08-16 | 1981-03-25 | Toshiba Tungaloy Co Ltd | High hardness sintered body |
ATE28232T1 (de) | 1982-03-03 | 1987-07-15 | Bbc Brown Boveri & Cie | Druckwellenlader mit waelzlagerung des rotors. |
US4714520A (en) | 1985-07-25 | 1987-12-22 | Advanced Micro Devices, Inc. | Method for filling a trench in an integrated circuit structure without producing voids |
JPS62216224A (ja) | 1986-03-17 | 1987-09-22 | Fujitsu Ltd | タングステンの選択成長方法 |
JPS62260340A (ja) | 1986-05-06 | 1987-11-12 | Toshiba Corp | 半導体装置の製造方法 |
US4713141A (en) | 1986-09-22 | 1987-12-15 | Intel Corporation | Anisotropic plasma etching of tungsten |
US4746375A (en) | 1987-05-08 | 1988-05-24 | General Electric Company | Activation of refractory metal surfaces for electroless plating |
US4874723A (en) | 1987-07-16 | 1989-10-17 | Texas Instruments Incorporated | Selective etching of tungsten by remote and in situ plasma generation |
US5147500A (en) | 1987-07-31 | 1992-09-15 | Hitachi, Ltd. | Dry etching method |
JPH0794488B2 (ja) | 1987-09-07 | 1995-10-11 | ダイセル化学工業株式会社 | 光学活性な重合用触媒及び光学活性な高分子の合成方法 |
US4891550A (en) | 1987-10-15 | 1990-01-02 | Duro-Test Corporation | Phosphor blend for broad spectrum fluorescent lamp |
US4997520A (en) | 1988-06-10 | 1991-03-05 | Texas Instruments Incorporated | Method for etching tungsten |
US4962063A (en) | 1988-11-10 | 1990-10-09 | Applied Materials, Inc. | Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing |
US5112439A (en) | 1988-11-30 | 1992-05-12 | Mcnc | Method for selectively depositing material on substrates |
US5037775A (en) | 1988-11-30 | 1991-08-06 | Mcnc | Method for selectively depositing single elemental semiconductor material on substrates |
JPH02187031A (ja) * | 1989-01-14 | 1990-07-23 | Sharp Corp | 半導体装置 |
US5250329A (en) | 1989-04-06 | 1993-10-05 | Microelectronics And Computer Technology Corporation | Method of depositing conductive lines on a dielectric |
GB8907898D0 (en) | 1989-04-07 | 1989-05-24 | Inmos Ltd | Semiconductor devices and fabrication thereof |
US4988644A (en) | 1989-05-23 | 1991-01-29 | Texas Instruments Incorporated | Method for etching semiconductor materials using a remote plasma generator |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
EP1069207A3 (en) | 1990-01-08 | 2003-05-14 | Lsi Logic Corporation | In-situ etch method for for cleaning a CVD chamber |
JP3019367B2 (ja) | 1990-06-21 | 2000-03-13 | 日本電気株式会社 | 半導体装置の製造方法 |
KR100209856B1 (ko) | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
US5250467A (en) | 1991-03-29 | 1993-10-05 | Applied Materials, Inc. | Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer |
US5164330A (en) | 1991-04-17 | 1992-11-17 | Intel Corporation | Etchback process for tungsten utilizing a NF3/AR chemistry |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5567583A (en) | 1991-12-16 | 1996-10-22 | Biotronics Corporation | Methods for reducing non-specific priming in DNA detection |
JPH05226280A (ja) | 1992-02-14 | 1993-09-03 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
CA2067565C (en) | 1992-04-29 | 1999-02-16 | Ismail T. Emesh | Deposition of tungsten |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5326723A (en) | 1992-09-09 | 1994-07-05 | Intel Corporation | Method for improving stability of tungsten chemical vapor deposition |
KR950012738B1 (ko) | 1992-12-10 | 1995-10-20 | 현대전자산업주식회사 | 반도체소자의 텅스텐 콘택 플러그 제조방법 |
JP3216345B2 (ja) | 1993-04-06 | 2001-10-09 | ソニー株式会社 | 半導体装置及びその作製方法 |
US5616208A (en) | 1993-09-17 | 1997-04-01 | Tokyo Electron Limited | Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus |
JP2881371B2 (ja) | 1993-09-20 | 1999-04-12 | 東京エレクトロン株式会社 | 真空処理装置及び真空処理装置集合体のクリーニング方法 |
KR970009867B1 (ko) | 1993-12-17 | 1997-06-18 | 현대전자산업 주식회사 | 반도체 소자의 텅스텐 실리사이드 형성방법 |
KR0179677B1 (ko) | 1993-12-28 | 1999-04-15 | 사토 후미오 | 반도체장치 및 그 제조방법 |
EP0704551B1 (en) | 1994-09-27 | 2000-09-06 | Applied Materials, Inc. | Method of processing a substrate in a vacuum processing chamber |
JPH08115984A (ja) | 1994-10-17 | 1996-05-07 | Hitachi Ltd | 半導体装置及びその製造方法 |
US5489552A (en) | 1994-12-30 | 1996-02-06 | At&T Corp. | Multiple layer tungsten deposition process |
US6001729A (en) | 1995-01-10 | 1999-12-14 | Kawasaki Steel Corporation | Method of forming wiring structure for semiconductor device |
JP2737764B2 (ja) | 1995-03-03 | 1998-04-08 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JP3538970B2 (ja) | 1995-05-24 | 2004-06-14 | ヤマハ株式会社 | 配線形成法 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
JPH0927596A (ja) | 1995-07-11 | 1997-01-28 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
US5863819A (en) | 1995-10-25 | 1999-01-26 | Micron Technology, Inc. | Method of fabricating a DRAM access transistor with dual gate oxide technique |
TW310461B (ko) | 1995-11-10 | 1997-07-11 | Matsushita Electric Ind Co Ltd | |
US5747379A (en) | 1996-01-11 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back |
US6017818A (en) | 1996-01-22 | 2000-01-25 | Texas Instruments Incorporated | Process for fabricating conformal Ti-Si-N and Ti-B-N based barrier films with low defect density |
US5833817A (en) | 1996-04-22 | 1998-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for improving conformity and contact bottom coverage of sputtered titanium nitride barrier layers |
US5633200A (en) | 1996-05-24 | 1997-05-27 | Micron Technology, Inc. | Process for manufacturing a large grain tungsten nitride film and process for manufacturing a lightly nitrided titanium salicide diffusion barrier with a large grain tungsten nitride cover layer |
JP3511802B2 (ja) | 1996-05-27 | 2004-03-29 | ソニー株式会社 | 金属配線の形成方法 |
JPH09326436A (ja) | 1996-06-06 | 1997-12-16 | Sony Corp | 配線形成方法 |
US5677237A (en) | 1996-06-21 | 1997-10-14 | Taiwan Semiconductor Manufacturing Company Ltd. | Process for removing seams in tungsten plugs |
US5893758A (en) | 1996-06-26 | 1999-04-13 | Micron Technology, Inc. | Etching method for reducing cusping at openings |
US5963833A (en) | 1996-07-03 | 1999-10-05 | Micron Technology, Inc. | Method for cleaning semiconductor wafers and |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
KR200160641Y1 (ko) | 1996-08-23 | 1999-11-15 | 맹섭 | 골프백용 등걸이 멜빵 |
US5916634A (en) | 1996-10-01 | 1999-06-29 | Sandia Corporation | Chemical vapor deposition of W-Si-N and W-B-N |
KR100214852B1 (ko) | 1996-11-02 | 1999-08-02 | 김영환 | 반도체 디바이스의 금속 배선 형성 방법 |
US6310300B1 (en) | 1996-11-08 | 2001-10-30 | International Business Machines Corporation | Fluorine-free barrier layer between conductor and insulator for degradation prevention |
JP3869089B2 (ja) | 1996-11-14 | 2007-01-17 | 株式会社日立製作所 | 半導体集積回路装置の製造方法 |
KR100255516B1 (ko) | 1996-11-28 | 2000-05-01 | 김영환 | 반도체 장치의 금속배선 및 그 형성방법 |
US6297152B1 (en) | 1996-12-12 | 2001-10-02 | Applied Materials, Inc. | CVD process for DCS-based tungsten silicide |
JP2891952B2 (ja) | 1996-12-17 | 1999-05-17 | 芝浦メカトロニクス株式会社 | 半導体装置の製造方法 |
US6184158B1 (en) | 1996-12-23 | 2001-02-06 | Lam Research Corporation | Inductively coupled plasma CVD |
KR100239442B1 (ko) | 1996-12-26 | 2000-01-15 | 김영환 | 콘택홀 내의 전도성 플로그 형성방법 |
JP3090074B2 (ja) | 1997-01-20 | 2000-09-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
EP0856877A1 (en) | 1997-01-31 | 1998-08-05 | Texas Instruments Incorporated | Process for forming integrated circuits using multistep plasma etching |
US5804249A (en) | 1997-02-07 | 1998-09-08 | Lsi Logic Corporation | Multistep tungsten CVD process with amorphization step |
US5866483A (en) | 1997-04-04 | 1999-02-02 | Applied Materials, Inc. | Method for anisotropically etching tungsten using SF6, CHF3, and N2 |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6037248A (en) | 1997-06-13 | 2000-03-14 | Micron Technology, Inc. | Method of fabricating integrated circuit wiring with low RC time delay |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US5807786A (en) | 1997-07-30 | 1998-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a barrier layer to protect programmable antifuse structure from damage during fabrication sequence |
US5956609A (en) | 1997-08-11 | 1999-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for reducing stress and improving step-coverage of tungsten interconnects and plugs |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US5795824A (en) | 1997-08-28 | 1998-08-18 | Novellus Systems, Inc. | Method for nucleation of CVD tungsten films |
US5926720A (en) | 1997-09-08 | 1999-07-20 | Lsi Logic Corporation | Consistent alignment mark profiles on semiconductor wafers using PVD shadowing |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US7829144B2 (en) | 1997-11-05 | 2010-11-09 | Tokyo Electron Limited | Method of forming a metal film for electrode |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
TW359884B (en) | 1998-01-07 | 1999-06-01 | Nanya Technology Co Ltd | Multi-level interconnects with I-plug and production process therefor |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
JPH11260759A (ja) | 1998-03-12 | 1999-09-24 | Fujitsu Ltd | 半導体装置の製造方法 |
US6110822A (en) | 1998-03-25 | 2000-08-29 | Taiwan Semiconductor Manufacturing Company | Method for forming a polysilicon-interconnect contact in a TFT-SRAM |
US6452276B1 (en) | 1998-04-30 | 2002-09-17 | International Business Machines Corporation | Ultra thin, single phase, diffusion barrier for metal conductors |
US6030881A (en) | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6432830B1 (en) | 1998-05-15 | 2002-08-13 | Applied Materials, Inc. | Semiconductor fabrication process |
WO1999067056A1 (en) | 1998-06-23 | 1999-12-29 | Arch Specialty Chemicals, Inc. | Composition for the chemical mechanical polishing of metal layers |
US6140233A (en) | 1998-06-25 | 2000-10-31 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby |
US6066366A (en) | 1998-07-22 | 2000-05-23 | Applied Materials, Inc. | Method for depositing uniform tungsten layers by CVD |
TW436366B (en) | 1998-08-21 | 2001-05-28 | United Microelectronics Corp | Method of fabricating a plug |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100273767B1 (ko) | 1998-10-28 | 2001-01-15 | 윤종용 | 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자 |
US6037263A (en) | 1998-11-05 | 2000-03-14 | Vanguard International Semiconductor Corporation | Plasma enhanced CVD deposition of tungsten and tungsten compounds |
US6331483B1 (en) | 1998-12-18 | 2001-12-18 | Tokyo Electron Limited | Method of film-forming of tungsten |
KR100296126B1 (ko) | 1998-12-22 | 2001-08-07 | 박종섭 | 고집적 메모리 소자의 게이트전극 형성방법 |
US20010014533A1 (en) | 1999-01-08 | 2001-08-16 | Shih-Wei Sun | Method of fabricating salicide |
JP3206578B2 (ja) | 1999-01-11 | 2001-09-10 | 日本電気株式会社 | 多層配線構造をもつ半導体装置の製造方法 |
JP4570704B2 (ja) | 1999-02-17 | 2010-10-27 | 株式会社アルバック | バリア膜製造方法 |
US6306211B1 (en) | 1999-03-23 | 2001-10-23 | Matsushita Electric Industrial Co., Ltd. | Method for growing semiconductor film and method for fabricating semiconductor device |
TW452607B (en) | 1999-03-26 | 2001-09-01 | Nat Science Council | Production of a refractory metal by chemical vapor deposition of a bilayer-stacked tungsten metal |
US6245654B1 (en) | 1999-03-31 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd | Method for preventing tungsten contact/via plug loss after a backside pressure fault |
US6294468B1 (en) | 1999-05-24 | 2001-09-25 | Agere Systems Guardian Corp. | Method of chemical vapor depositing tungsten films |
US6720261B1 (en) | 1999-06-02 | 2004-04-13 | Agere Systems Inc. | Method and system for eliminating extrusions in semiconductor vias |
US6174812B1 (en) | 1999-06-08 | 2001-01-16 | United Microelectronics Corp. | Copper damascene technology for ultra large scale integration circuits |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
US6309964B1 (en) | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
US6265312B1 (en) | 1999-08-02 | 2001-07-24 | Stmicroelectronics, Inc. | Method for depositing an integrated circuit tungsten film stack that includes a post-nucleation pump down step |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6309966B1 (en) | 1999-09-03 | 2001-10-30 | Motorola, Inc. | Apparatus and method of a low pressure, two-step nucleation tungsten deposition |
US6303480B1 (en) | 1999-09-13 | 2001-10-16 | Applied Materials, Inc. | Silicon layer to improve plug filling by CVD |
US6503843B1 (en) | 1999-09-21 | 2003-01-07 | Applied Materials, Inc. | Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill |
US6924226B2 (en) | 1999-10-02 | 2005-08-02 | Uri Cohen | Methods for making multiple seed layers for metallic interconnects |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
US8696875B2 (en) | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
EP1221178A1 (en) | 1999-10-15 | 2002-07-10 | ASM America, Inc. | Method for depositing nanolaminate thin films on sensitive surfaces |
KR100338941B1 (ko) * | 1999-11-26 | 2002-05-31 | 박종섭 | 반도체소자의 컨택 형성방법 |
KR100330163B1 (ko) | 2000-01-06 | 2002-03-28 | 윤종용 | 반도체 장치의 텅스텐 콘택 플러그 형성 방법 |
US6277744B1 (en) | 2000-01-21 | 2001-08-21 | Advanced Micro Devices, Inc. | Two-level silane nucleation for blanket tungsten deposition |
US6777331B2 (en) | 2000-03-07 | 2004-08-17 | Simplus Systems Corporation | Multilayered copper structure for improving adhesion property |
JP2001274114A (ja) | 2000-03-28 | 2001-10-05 | Toshiba Corp | 半導体装置の製造方法 |
US6429126B1 (en) | 2000-03-29 | 2002-08-06 | Applied Materials, Inc. | Reduced fluorine contamination for tungsten CVD |
EP1290746B1 (en) | 2000-05-18 | 2012-04-25 | Corning Incorporated | High performance solid electrolyte fuel cells |
JP3651360B2 (ja) | 2000-05-19 | 2005-05-25 | 株式会社村田製作所 | 電極膜の形成方法 |
US7253076B1 (en) | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
JP2002009017A (ja) | 2000-06-22 | 2002-01-11 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
JP2002016066A (ja) * | 2000-06-27 | 2002-01-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US6491978B1 (en) | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
JP2002043201A (ja) | 2000-07-28 | 2002-02-08 | Mitsubishi Electric Corp | 半導体装置の製造方法及び半導体装置 |
US6218301B1 (en) | 2000-07-31 | 2001-04-17 | Applied Materials, Inc. | Deposition of tungsten films from W(CO)6 |
US6740591B1 (en) | 2000-11-16 | 2004-05-25 | Intel Corporation | Slurry and method for chemical mechanical polishing of copper |
KR100479283B1 (ko) | 2000-11-17 | 2005-03-28 | 동경 엘렉트론 주식회사 | 금속 막 형성 방법 및 반도체 제조 장치 |
US6908848B2 (en) | 2000-12-20 | 2005-06-21 | Samsung Electronics, Co., Ltd. | Method for forming an electrical interconnection providing improved surface morphology of tungsten |
KR100375230B1 (ko) | 2000-12-20 | 2003-03-08 | 삼성전자주식회사 | 매끄러운 텅스텐 표면을 갖는 반도체 장치의 배선 제조방법 |
KR100399417B1 (ko) | 2001-01-08 | 2003-09-26 | 삼성전자주식회사 | 반도체 집적 회로의 제조 방법 |
US6376376B1 (en) | 2001-01-16 | 2002-04-23 | Chartered Semiconductor Manufacturing Ltd. | Method to prevent CU dishing during damascene formation |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
KR20020072996A (ko) | 2001-03-14 | 2002-09-19 | 주성엔지니어링(주) | 금속 플러그 형성방법 |
US20020190379A1 (en) | 2001-03-28 | 2002-12-19 | Applied Materials, Inc. | W-CVD with fluorine-free tungsten nucleation |
US20020168840A1 (en) | 2001-05-11 | 2002-11-14 | Applied Materials, Inc. | Deposition of tungsten silicide films |
JP2002343787A (ja) | 2001-05-17 | 2002-11-29 | Research Institute Of Innovative Technology For The Earth | プラズマ処理装置およびそのクリーニング方法 |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US7005372B2 (en) * | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
JP2002353161A (ja) | 2001-05-25 | 2002-12-06 | Mitsubishi Electric Corp | 半導体装置の製造方法及び半導体装置 |
JP3822804B2 (ja) | 2001-06-18 | 2006-09-20 | 株式会社日立製作所 | 半導体装置の製造方法 |
US6686278B2 (en) | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
WO2003029515A2 (en) | 2001-07-16 | 2003-04-10 | Applied Materials, Inc. | Formation of composite tungsten films |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
JP4032872B2 (ja) | 2001-08-14 | 2008-01-16 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
JP4595989B2 (ja) | 2001-08-24 | 2010-12-08 | 東京エレクトロン株式会社 | 成膜方法 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US7115516B2 (en) | 2001-10-09 | 2006-10-03 | Applied Materials, Inc. | Method of depositing a material layer |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
JP2003142484A (ja) | 2001-10-31 | 2003-05-16 | Mitsubishi Electric Corp | 半導体装置の製造方法 |
US6872323B1 (en) | 2001-11-01 | 2005-03-29 | Novellus Systems, Inc. | In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor |
US6566262B1 (en) | 2001-11-01 | 2003-05-20 | Lsi Logic Corporation | Method for creating self-aligned alloy capping layers for copper interconnect structures |
US20030091739A1 (en) | 2001-11-14 | 2003-05-15 | Hitoshi Sakamoto | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US20030091870A1 (en) | 2001-11-15 | 2003-05-15 | Siddhartha Bhowmik | Method of forming a liner for tungsten plugs |
KR100437455B1 (ko) | 2001-12-10 | 2004-06-23 | 삼성전자주식회사 | 반도체 장치 형성 방법 |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
KR20030058853A (ko) | 2002-01-02 | 2003-07-07 | 주식회사 하이닉스반도체 | 반도체 소자의 플러그 형성 방법 |
US6828226B1 (en) | 2002-01-09 | 2004-12-07 | Taiwan Semiconductor Manufacturing Company, Limited | Removal of SiON residue after CMP |
JP3971192B2 (ja) | 2002-01-11 | 2007-09-05 | 株式会社アルバック | Cvd装置 |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6566250B1 (en) | 2002-03-18 | 2003-05-20 | Taiwant Semiconductor Manufacturing Co., Ltd | Method for forming a self aligned capping layer |
US6797620B2 (en) | 2002-04-16 | 2004-09-28 | Applied Materials, Inc. | Method and apparatus for improved electroplating fill of an aperture |
US20030203123A1 (en) | 2002-04-26 | 2003-10-30 | Applied Materials, Inc. | System and method for metal induced crystallization of polycrystalline thin film transistors |
KR100446300B1 (ko) | 2002-05-30 | 2004-08-30 | 삼성전자주식회사 | 반도체 소자의 금속 배선 형성 방법 |
US20030224217A1 (en) | 2002-05-31 | 2003-12-04 | Applied Materials, Inc. | Metal nitride formation |
US6905543B1 (en) | 2002-06-19 | 2005-06-14 | Novellus Systems, Inc | Methods of forming tungsten nucleation layer |
US20030235995A1 (en) | 2002-06-21 | 2003-12-25 | Oluseyi Hakeem M. | Method of increasing selectivity to mask when etching tungsten or tungsten nitride |
US7240564B2 (en) | 2002-07-30 | 2007-07-10 | Alliant Techsystems Inc. | Method and apparatus for detecting and determining event characteristics with reduced data collection |
TWI287559B (en) | 2002-08-22 | 2007-10-01 | Konica Corp | Organic-inorganic hybrid film, its manufacturing method, optical film, and polarizing film |
US6790773B1 (en) * | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
US6802944B2 (en) | 2002-10-23 | 2004-10-12 | Applied Materials, Inc. | High density plasma CVD process for gapfill into high aspect ratio features |
KR100542740B1 (ko) | 2002-11-11 | 2006-01-11 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US6706625B1 (en) | 2002-12-06 | 2004-03-16 | Chartered Semiconductor Manufacturing Ltd. | Copper recess formation using chemical process for fabricating barrier cap for lines and vias |
US6962873B1 (en) | 2002-12-10 | 2005-11-08 | Novellus Systems, Inc. | Nitridation of electrolessly deposited cobalt |
JP2006515535A (ja) | 2002-12-23 | 2006-06-01 | アプライド シン フィルムズ,インコーポレイティッド | リン酸アルミニウムコーティング |
JP4429919B2 (ja) | 2002-12-27 | 2010-03-10 | 株式会社アルバック | 窒化タングステン膜の成膜方法 |
US20040134427A1 (en) | 2003-01-09 | 2004-07-15 | Derderian Garo J. | Deposition chamber surface enhancement and resulting deposition chambers |
JP2004235456A (ja) | 2003-01-30 | 2004-08-19 | Seiko Epson Corp | 成膜装置、成膜方法および半導体装置の製造方法 |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
KR100528073B1 (ko) | 2003-04-07 | 2005-11-15 | 동부아남반도체 주식회사 | 반도체소자 제조방법 |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US7205240B2 (en) | 2003-06-04 | 2007-04-17 | Applied Materials, Inc. | HDP-CVD multistep gapfill process |
KR20060079144A (ko) | 2003-06-18 | 2006-07-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 배리어 물질의 원자층 증착 |
US20040266174A1 (en) | 2003-06-27 | 2004-12-30 | Chin-Tien Yang | Method and apparatus of preventing tungsten pullout during tungsten chemical mill processing |
US7993460B2 (en) | 2003-06-30 | 2011-08-09 | Lam Research Corporation | Substrate support having dynamic temperature control |
JP2005029821A (ja) | 2003-07-09 | 2005-02-03 | Tokyo Electron Ltd | 成膜方法 |
KR100539274B1 (ko) | 2003-07-15 | 2005-12-27 | 삼성전자주식회사 | 코발트 막 증착 방법 |
KR20050011479A (ko) | 2003-07-23 | 2005-01-29 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐 콘택플러그 형성방법 |
KR100555514B1 (ko) | 2003-08-22 | 2006-03-03 | 삼성전자주식회사 | 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법 |
US7754604B2 (en) | 2003-08-26 | 2010-07-13 | Novellus Systems, Inc. | Reducing silicon attack and improving resistivity of tungsten nitride film |
JP4606006B2 (ja) | 2003-09-11 | 2011-01-05 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7078341B2 (en) | 2003-09-30 | 2006-07-18 | Tokyo Electron Limited | Method of depositing metal layers from metal-carbonyl precursors |
US6924223B2 (en) | 2003-09-30 | 2005-08-02 | Tokyo Electron Limited | Method of forming a metal layer using an intermittent precursor gas flow process |
US7223693B2 (en) | 2003-12-12 | 2007-05-29 | Samsung Electronics Co., Ltd. | Methods for fabricating memory devices using sacrificial layers and memory devices fabricated by same |
KR100557626B1 (ko) | 2003-12-23 | 2006-03-10 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
US20050139838A1 (en) | 2003-12-26 | 2005-06-30 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
US20050147762A1 (en) * | 2003-12-30 | 2005-07-07 | Dubin Valery M. | Method to fabricate amorphous electroless metal layers |
KR101178743B1 (ko) | 2004-04-12 | 2012-09-07 | 가부시키가이샤 알박 | 배리어막의 형성 방법, 및 전극막의 형성 방법 |
JP5074183B2 (ja) | 2004-04-21 | 2012-11-14 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | 高圧ガス放電ランプを製造する方法、タングステン電極、高圧ガス放電ランプ、および照明ユニット |
US7199045B2 (en) | 2004-05-26 | 2007-04-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal-filled openings for submicron devices and methods of manufacture thereof |
US7605469B2 (en) | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7879710B2 (en) | 2005-05-18 | 2011-02-01 | Intermolecular, Inc. | Substrate processing including a masking layer |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
KR20050013187A (ko) | 2004-12-28 | 2005-02-03 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US20060145190A1 (en) | 2004-12-31 | 2006-07-06 | Salzman David B | Surface passivation for III-V compound semiconductors |
KR100642750B1 (ko) | 2005-01-31 | 2006-11-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US7344983B2 (en) | 2005-03-18 | 2008-03-18 | International Business Machines Corporation | Clustered surface preparation for silicide and metal contacts |
JP4671729B2 (ja) | 2005-03-28 | 2011-04-20 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
JP4738178B2 (ja) | 2005-06-17 | 2011-08-03 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
JP4945937B2 (ja) | 2005-07-01 | 2012-06-06 | 東京エレクトロン株式会社 | タングステン膜の形成方法、成膜装置及び記憶媒体 |
US20070006893A1 (en) | 2005-07-08 | 2007-01-11 | Bing Ji | Free radical initiator in remote plasma chamber clean |
JP4864368B2 (ja) | 2005-07-21 | 2012-02-01 | シャープ株式会社 | 気相堆積方法 |
US7517798B2 (en) | 2005-09-01 | 2009-04-14 | Micron Technology, Inc. | Methods for forming through-wafer interconnects and structures resulting therefrom |
US20070066060A1 (en) | 2005-09-19 | 2007-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and fabrication methods thereof |
US7235485B2 (en) | 2005-10-14 | 2007-06-26 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor device |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7524765B2 (en) | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
US20070117396A1 (en) | 2005-11-22 | 2007-05-24 | Dingjun Wu | Selective etching of titanium nitride with xenon difluoride |
JP4967354B2 (ja) | 2006-01-31 | 2012-07-04 | 東京エレクトロン株式会社 | シード膜の成膜方法、プラズマ成膜装置及び記憶媒体 |
JP4783169B2 (ja) | 2006-02-13 | 2011-09-28 | パナソニック株式会社 | ドライエッチング方法、微細構造形成方法、モールド及びその製造方法 |
US7368394B2 (en) * | 2006-02-27 | 2008-05-06 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7276796B1 (en) * | 2006-03-15 | 2007-10-02 | International Business Machines Corporation | Formation of oxidation-resistant seed layer for interconnect applications |
JP2007250907A (ja) | 2006-03-16 | 2007-09-27 | Renesas Technology Corp | 半導体装置およびその製造方法 |
US8258057B2 (en) | 2006-03-30 | 2012-09-04 | Intel Corporation | Copper-filled trench contact for transistor performance improvement |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
US7828504B2 (en) * | 2006-05-12 | 2010-11-09 | Axcellis Technologies, Inc. | Combination load lock for handling workpieces |
US7557047B2 (en) | 2006-06-09 | 2009-07-07 | Micron Technology, Inc. | Method of forming a layer of material using an atomic layer deposition process |
KR101254275B1 (ko) | 2006-06-20 | 2013-04-23 | 가부시키가이샤 아루박 | 폴리이미드막 도포 장치 및 방법 |
US7355254B2 (en) | 2006-06-30 | 2008-04-08 | Intel Corporation | Pinning layer for low resistivity N-type source drain ohmic contacts |
KR100705936B1 (ko) | 2006-06-30 | 2007-04-13 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성방법 |
US7435484B2 (en) | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
KR100757418B1 (ko) * | 2006-09-05 | 2007-09-10 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
US8153831B2 (en) | 2006-09-28 | 2012-04-10 | Praxair Technology, Inc. | Organometallic compounds, processes for the preparation thereof and methods of use thereof |
KR100881391B1 (ko) | 2006-09-29 | 2009-02-05 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 형성방법 |
KR100894769B1 (ko) | 2006-09-29 | 2009-04-24 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성방법 |
KR20080036679A (ko) | 2006-10-24 | 2008-04-29 | 삼성전자주식회사 | 불 휘발성 메모리 소자의 형성 방법 |
US7569913B2 (en) * | 2006-10-26 | 2009-08-04 | Atmel Corporation | Boron etch-stop layer and methods related thereto |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US7675119B2 (en) | 2006-12-25 | 2010-03-09 | Elpida Memory, Inc. | Semiconductor device and manufacturing method thereof |
US20080174021A1 (en) | 2007-01-18 | 2008-07-24 | Samsung Electronics Co., Ltd. | Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same |
US20080254619A1 (en) | 2007-04-14 | 2008-10-16 | Tsang-Jung Lin | Method of fabricating a semiconductor device |
TWI493058B (zh) | 2007-05-15 | 2015-07-21 | Applied Materials Inc | 鎢材料的原子層沈積法 |
JP2008288289A (ja) | 2007-05-16 | 2008-11-27 | Oki Electric Ind Co Ltd | 電界効果トランジスタとその製造方法 |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
KR101225642B1 (ko) | 2007-11-15 | 2013-01-24 | 삼성전자주식회사 | H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법 |
CN102969240B (zh) | 2007-11-21 | 2016-11-09 | 朗姆研究公司 | 控制对含钨层的蚀刻微负载的方法 |
KR100939777B1 (ko) * | 2007-11-30 | 2010-01-29 | 주식회사 하이닉스반도체 | 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법 |
US8080324B2 (en) | 2007-12-03 | 2011-12-20 | Kobe Steel, Ltd. | Hard coating excellent in sliding property and method for forming same |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
US8062977B1 (en) | 2008-01-31 | 2011-11-22 | Novellus Systems, Inc. | Ternary tungsten-containing resistive thin films |
US8262800B1 (en) | 2008-02-12 | 2012-09-11 | Novellus Systems, Inc. | Methods and apparatus for cleaning deposition reactors |
KR101163825B1 (ko) | 2008-03-28 | 2012-07-09 | 도쿄엘렉트론가부시키가이샤 | 정전척 및 그 제조 방법 |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
US8385644B2 (en) | 2008-07-08 | 2013-02-26 | Zeitera, Llc | Digital video fingerprinting based on resultant weighted gradient orientation computation |
US7968460B2 (en) | 2008-06-19 | 2011-06-28 | Micron Technology, Inc. | Semiconductor with through-substrate interconnect |
US8551885B2 (en) | 2008-08-29 | 2013-10-08 | Novellus Systems, Inc. | Method for reducing tungsten roughness and improving reflectivity |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US20100072623A1 (en) * | 2008-09-19 | 2010-03-25 | Advanced Micro Devices, Inc. | Semiconductor device with improved contact plugs, and related fabrication methods |
JP2010093116A (ja) | 2008-10-09 | 2010-04-22 | Panasonic Corp | 半導体装置及び半導体装置の製造方法 |
US8293647B2 (en) | 2008-11-24 | 2012-10-23 | Applied Materials, Inc. | Bottom up plating by organic surface passivation and differential plating retardation |
US7825024B2 (en) | 2008-11-25 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming through-silicon vias |
US7964502B2 (en) * | 2008-11-25 | 2011-06-21 | Freescale Semiconductor, Inc. | Multilayered through via |
US20100144140A1 (en) | 2008-12-10 | 2010-06-10 | Novellus Systems, Inc. | Methods for depositing tungsten films having low resistivity for gapfill applications |
US8129270B1 (en) | 2008-12-10 | 2012-03-06 | Novellus Systems, Inc. | Method for depositing tungsten film having low resistivity, low roughness and high reflectivity |
US8110877B2 (en) | 2008-12-19 | 2012-02-07 | Intel Corporation | Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions |
US8236691B2 (en) * | 2008-12-31 | 2012-08-07 | Micron Technology, Inc. | Method of high aspect ratio plug fill |
JP5550843B2 (ja) | 2009-03-19 | 2014-07-16 | ラピスセミコンダクタ株式会社 | 半導体装置の製造方法 |
DE102009015747B4 (de) | 2009-03-31 | 2013-08-08 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Verfahren zur Herstellung von Transistoren mit Metallgateelektrodenstrukturen und Gatedielektrikum mit großem ε und einer Zwischenätzstoppschicht |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US8039394B2 (en) | 2009-06-26 | 2011-10-18 | Seagate Technology Llc | Methods of forming layers of alpha-tantalum |
US8153520B1 (en) | 2009-08-03 | 2012-04-10 | Novellus Systems, Inc. | Thinning tungsten layer after through silicon via filling |
US8124531B2 (en) | 2009-08-04 | 2012-02-28 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US9034768B2 (en) * | 2010-07-09 | 2015-05-19 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US8119527B1 (en) * | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
WO2011017068A1 (en) | 2009-08-07 | 2011-02-10 | Sigma-Aldrich Co. | High molecular weight alkyl-allyl cobalttricarbonyl complexes and use thereof for preparing dielectric thin films |
US8747684B2 (en) * | 2009-08-20 | 2014-06-10 | Applied Materials, Inc. | Multi-film stack etching with polymer passivation of an overlying etched layer |
KR20120046786A (ko) | 2009-09-02 | 2012-05-10 | 가부시키가이샤 알박 | Co 막의 형성 방법 및 Cu 배선막의 형성 방법 |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
SG10201407519TA (en) | 2009-11-19 | 2015-01-29 | Univ Singapore | Method For Producing T Cell Receptor-Like Monoclonal Antibodies And Uses Thereof |
DE102009055392B4 (de) | 2009-12-30 | 2014-05-22 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements |
CN101789369A (zh) * | 2010-01-28 | 2010-07-28 | 上海宏力半导体制造有限公司 | 多金属钨栅极刻蚀方法 |
US8227344B2 (en) | 2010-02-26 | 2012-07-24 | Tokyo Electron Limited | Hybrid in-situ dry cleaning of oxidized surface layers |
US8709948B2 (en) | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
WO2011113177A1 (en) | 2010-03-17 | 2011-09-22 | Applied Materials, Inc. | Method and apparatus for remote plasma source assisted silicon-containing film deposition |
JP2011199021A (ja) | 2010-03-19 | 2011-10-06 | Renesas Electronics Corp | 半導体装置及びその製造方法 |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8741394B2 (en) | 2010-03-25 | 2014-06-03 | Novellus Systems, Inc. | In-situ deposition of film stacks |
KR101340793B1 (ko) * | 2010-07-09 | 2013-12-11 | 노벨러스 시스템즈, 인코포레이티드 | 고 종횡비 특징부 내부로 텅스텐 증착하기 |
US8778797B2 (en) | 2010-09-27 | 2014-07-15 | Novellus Systems, Inc. | Systems and methods for selective tungsten deposition in vias |
US8969823B2 (en) | 2011-01-21 | 2015-03-03 | Uchicago Argonne, Llc | Microchannel plate detector and methods for their fabrication |
US20120199887A1 (en) | 2011-02-03 | 2012-08-09 | Lana Chan | Methods of controlling tungsten film properties |
US20120225191A1 (en) | 2011-03-01 | 2012-09-06 | Applied Materials, Inc. | Apparatus and Process for Atomic Layer Deposition |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8883637B2 (en) | 2011-06-30 | 2014-11-11 | Novellus Systems, Inc. | Systems and methods for controlling etch selectivity of various materials |
US8546250B2 (en) | 2011-08-18 | 2013-10-01 | Wafertech Llc | Method of fabricating vertical integrated semiconductor device with multiple continuous single crystal silicon layers vertically separated from one another |
US8916435B2 (en) * | 2011-09-09 | 2014-12-23 | International Business Machines Corporation | Self-aligned bottom plate for metal high-K dielectric metal insulator metal (MIM) embedded dynamic random access memory |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
WO2013063260A1 (en) | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | High temperature tungsten metallization process |
US8883028B2 (en) | 2011-12-28 | 2014-11-11 | Lam Research Corporation | Mixed mode pulsing etching in plasma processing systems |
KR102100520B1 (ko) | 2012-03-27 | 2020-04-14 | 노벨러스 시스템즈, 인코포레이티드 | 핵생성 억제를 사용하는 텅스텐 피처 충진 |
KR102131581B1 (ko) | 2012-03-27 | 2020-07-08 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9330939B2 (en) | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
US9034760B2 (en) | 2012-06-29 | 2015-05-19 | Novellus Systems, Inc. | Methods of forming tensile tungsten films and compressive tungsten films |
US9969622B2 (en) | 2012-07-26 | 2018-05-15 | Lam Research Corporation | Ternary tungsten boride nitride films and methods for forming same |
US8975184B2 (en) | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
KR101990051B1 (ko) | 2012-08-31 | 2019-10-01 | 에스케이하이닉스 주식회사 | 무불소텅스텐 배리어층을 구비한 반도체장치 및 그 제조 방법 |
KR20140028992A (ko) | 2012-08-31 | 2014-03-10 | 에스케이하이닉스 주식회사 | 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9514983B2 (en) | 2012-12-28 | 2016-12-06 | Intel Corporation | Cobalt based interconnects and methods of fabrication thereof |
JP2014160757A (ja) | 2013-02-20 | 2014-09-04 | Toshiba Corp | 不揮発性半導体記憶装置およびその製造方法 |
US9048299B2 (en) | 2013-03-12 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning approach to reduce via to via minimum spacing |
US20140273451A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Tungsten deposition sequence |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US8975142B2 (en) | 2013-04-25 | 2015-03-10 | Globalfoundries Inc. | FinFET channel stress using tungsten contacts in raised epitaxial source and drain |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
US9385033B2 (en) | 2013-09-27 | 2016-07-05 | Intel Corporation | Method of forming a metal from a cobalt metal precursor |
JP6594304B2 (ja) * | 2013-10-18 | 2019-10-23 | ブルックス オートメーション インコーポレイテッド | 処理装置 |
US20150111374A1 (en) | 2013-10-18 | 2015-04-23 | International Business Machines Corporation | Surface treatment in a dep-etch-dep process |
TW201525173A (zh) | 2013-12-09 | 2015-07-01 | Applied Materials Inc | 選擇性層沉積之方法 |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9653352B2 (en) | 2014-04-11 | 2017-05-16 | Applied Materials, Inc. | Methods for forming metal organic tungsten for middle of the line (MOL) applications |
US20150361547A1 (en) | 2014-06-13 | 2015-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd | Method and apparatus for cleaning chemical vapor deposition chamber |
FR3023971B1 (fr) | 2014-07-18 | 2016-08-05 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US9978610B2 (en) | 2015-08-21 | 2018-05-22 | Lam Research Corporation | Pulsing RF power in etch process to enhance tungsten gapfill performance |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
TWI613845B (zh) | 2016-08-04 | 2018-02-01 | 財團法人工業技術研究院 | 垂直磁化自旋軌道磁性元件 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US10242879B2 (en) | 2017-04-20 | 2019-03-26 | Lam Research Corporation | Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition |
-
2013
- 2013-03-27 KR KR1020207000199A patent/KR102131581B1/ko active IP Right Grant
- 2013-03-27 US US13/851,885 patent/US9653353B2/en active Active
- 2013-03-27 KR KR1020147030125A patent/KR102064627B1/ko active IP Right Grant
- 2013-03-27 CN CN202110914064.2A patent/CN113862634A/zh active Pending
- 2013-03-27 CN CN201811491805.5A patent/CN110004429B/zh active Active
- 2013-03-27 JP JP2015503547A patent/JP6273257B2/ja active Active
- 2013-03-27 WO PCT/US2013/034167 patent/WO2013148880A1/en active Application Filing
- 2013-03-27 CN CN201380022693.8A patent/CN104272441A/zh active Pending
- 2013-03-27 TW TW102110947A patent/TWI602283B/zh active
-
2014
- 2014-09-30 US US14/502,817 patent/US9240347B2/en active Active
-
2015
- 2015-12-10 US US14/965,806 patent/US20160190008A1/en not_active Abandoned
-
2017
- 2017-04-07 US US15/482,271 patent/US10103058B2/en active Active
-
2018
- 2018-09-06 US US16/124,050 patent/US11075115B2/en active Active
-
2021
- 2021-06-25 US US17/359,068 patent/US20210327754A1/en not_active Abandoned
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH04142061A (ja) * | 1990-10-02 | 1992-05-15 | Sony Corp | タングステンプラグの形成方法 |
JPH07147321A (ja) * | 1993-11-26 | 1995-06-06 | Nec Corp | 半導体装置の製造方法 |
JPH07226393A (ja) * | 1994-02-15 | 1995-08-22 | Sony Corp | ドライエッチング方法およびドライエッチング装置 |
US20080254623A1 (en) * | 2001-05-22 | 2008-10-16 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
KR20050087428A (ko) * | 2004-02-26 | 2005-08-31 | 노벨러스 시스템즈, 인코포레이티드 | 질화 텅스텐의 증착 |
KR20080001460A (ko) * | 2006-06-29 | 2008-01-03 | 주식회사 하이닉스반도체 | 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법 |
KR20080061978A (ko) * | 2006-12-28 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자의 배선 형성방법 |
KR20090074560A (ko) * | 2008-01-02 | 2009-07-07 | 주식회사 하이닉스반도체 | 반도체소자의 텅스텐막 형성방법 |
KR20110108382A (ko) * | 2008-12-31 | 2011-10-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법 |
JP2010251760A (ja) * | 2009-04-16 | 2010-11-04 | Novellus Systems Inc | 小臨界次元の接点装置及び相互接続子用の超薄低抵抗タングステンフィルムの堆積方法 |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2024243143A1 (en) * | 2023-05-23 | 2024-11-28 | Lam Research Corporation | Inhibited atomic layer deposition in trench features |
Also Published As
Publication number | Publication date |
---|---|
US20160190008A1 (en) | 2016-06-30 |
US10103058B2 (en) | 2018-10-16 |
US20190019725A1 (en) | 2019-01-17 |
CN113862634A (zh) | 2021-12-31 |
CN104272441A (zh) | 2015-01-07 |
US20170278749A1 (en) | 2017-09-28 |
US11075115B2 (en) | 2021-07-27 |
KR102131581B1 (ko) | 2020-07-08 |
TW201405781A (zh) | 2014-02-01 |
CN110004429B (zh) | 2021-08-31 |
US20150056803A1 (en) | 2015-02-26 |
TWI602283B (zh) | 2017-10-11 |
KR20140141686A (ko) | 2014-12-10 |
US9240347B2 (en) | 2016-01-19 |
US9653353B2 (en) | 2017-05-16 |
US20210327754A1 (en) | 2021-10-21 |
JP6273257B2 (ja) | 2018-01-31 |
JP2015512568A (ja) | 2015-04-27 |
KR102064627B1 (ko) | 2020-01-09 |
CN110004429A (zh) | 2019-07-12 |
WO2013148880A1 (en) | 2013-10-03 |
US20130302980A1 (en) | 2013-11-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20210327754A1 (en) | Tungsten feature fill | |
US11410883B2 (en) | Tungsten feature fill with nucleation inhibition | |
JP7574360B2 (ja) | 3d nand及び他の用途のためのモリブデン充填 | |
US11978666B2 (en) | Void free low stress fill | |
US20220359280A1 (en) | Tungsten feature fill with nucleation inhibition | |
US9548228B2 (en) | Void free tungsten fill in different sized features | |
KR102185346B1 (ko) | 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진 | |
US10381266B2 (en) | Tungsten feature fill with nucleation inhibition | |
KR20160140458A (ko) | 저 불소 함량을 가진 텅스텐 막들 | |
WO2013148444A1 (en) | Tungsten feature fill with nucleation inhibition | |
US20230041794A1 (en) | Tungsten feature fill with nucleation inhibition |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
PA0104 | Divisional application for international application |
Comment text: Divisional Application for International Patent Patent event code: PA01041R01D Patent event date: 20200103 Application number text: 1020147030125 Filing date: 20141027 |
|
PG1501 | Laying open of application | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20200131 Comment text: Request for Examination of Application |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20200407 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20200701 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20200701 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
PR1001 | Payment of annual fee |
Payment date: 20230622 Start annual number: 4 End annual number: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20240619 Start annual number: 5 End annual number: 5 |