KR20210081436A - 텅스텐을 위한 몰리브덴 템플릿들 - Google Patents
텅스텐을 위한 몰리브덴 템플릿들 Download PDFInfo
- Publication number
- KR20210081436A KR20210081436A KR1020217018803A KR20217018803A KR20210081436A KR 20210081436 A KR20210081436 A KR 20210081436A KR 1020217018803 A KR1020217018803 A KR 1020217018803A KR 20217018803 A KR20217018803 A KR 20217018803A KR 20210081436 A KR20210081436 A KR 20210081436A
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- tungsten
- molybdenum
- template
- depositing
- Prior art date
Links
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 title claims description 57
- 229910052721 tungsten Inorganic materials 0.000 title claims description 57
- 239000010937 tungsten Substances 0.000 title claims description 57
- 229910052750 molybdenum Inorganic materials 0.000 title claims description 39
- 239000011733 molybdenum Substances 0.000 title claims description 38
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 title claims description 37
- 238000000034 method Methods 0.000 claims abstract description 83
- 239000002245 particle Substances 0.000 claims abstract description 18
- 238000000151 deposition Methods 0.000 claims description 69
- 239000000758 substrate Substances 0.000 claims description 39
- 239000002243 precursor Substances 0.000 claims description 38
- 230000008569 process Effects 0.000 claims description 36
- 238000000231 atomic layer deposition Methods 0.000 claims description 27
- 238000000137 annealing Methods 0.000 claims description 24
- 239000007789 gas Substances 0.000 claims description 18
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 17
- 239000004065 semiconductor Substances 0.000 claims description 16
- 229910017052 cobalt Inorganic materials 0.000 claims description 11
- 239000010941 cobalt Substances 0.000 claims description 11
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 10
- 238000011049 filling Methods 0.000 claims description 10
- 230000006911 nucleation Effects 0.000 claims description 10
- 238000010899 nucleation Methods 0.000 claims description 10
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 8
- 229910052707 ruthenium Inorganic materials 0.000 claims description 8
- 229910052759 nickel Inorganic materials 0.000 claims description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 6
- 229910052731 fluorine Inorganic materials 0.000 claims description 6
- 239000011737 fluorine Substances 0.000 claims description 6
- 239000001257 hydrogen Substances 0.000 claims description 6
- 229910052739 hydrogen Inorganic materials 0.000 claims description 6
- PDKHNCYLMVRIFV-UHFFFAOYSA-H molybdenum;hexachloride Chemical compound [Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[Mo] PDKHNCYLMVRIFV-UHFFFAOYSA-H 0.000 claims description 5
- 239000012535 impurity Substances 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 3
- 229910045601 alloy Inorganic materials 0.000 claims description 3
- 239000000956 alloy Substances 0.000 claims description 3
- ASLHVQCNFUOEEN-UHFFFAOYSA-N dioxomolybdenum;dihydrochloride Chemical compound Cl.Cl.O=[Mo]=O ASLHVQCNFUOEEN-UHFFFAOYSA-N 0.000 claims description 3
- GICWIDZXWJGTCI-UHFFFAOYSA-I molybdenum pentachloride Chemical compound Cl[Mo](Cl)(Cl)(Cl)Cl GICWIDZXWJGTCI-UHFFFAOYSA-I 0.000 claims description 3
- UYEGPKGLVUUIGD-UHFFFAOYSA-J tetrachloro(oxo)molybdenum Chemical compound Cl[Mo](Cl)(Cl)(Cl)=O UYEGPKGLVUUIGD-UHFFFAOYSA-J 0.000 claims description 3
- 239000010409 thin film Substances 0.000 claims description 3
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 claims description 3
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 claims description 2
- 229910001930 tungsten oxide Inorganic materials 0.000 claims description 2
- 238000004519 manufacturing process Methods 0.000 abstract description 12
- 238000001465 metallisation Methods 0.000 abstract description 4
- 229910052723 transition metal Inorganic materials 0.000 abstract description 4
- 150000003624 transition metals Chemical class 0.000 abstract description 4
- 239000010408 film Substances 0.000 description 49
- 230000008021 deposition Effects 0.000 description 47
- 235000012431 wafers Nutrition 0.000 description 23
- 238000012545 processing Methods 0.000 description 20
- 238000005229 chemical vapour deposition Methods 0.000 description 15
- -1 molybdenum (Mo) Chemical class 0.000 description 14
- 230000004888 barrier function Effects 0.000 description 11
- 239000003638 chemical reducing agent Substances 0.000 description 11
- 239000004020 conductor Substances 0.000 description 11
- 239000000463 material Substances 0.000 description 11
- 238000012546 transfer Methods 0.000 description 11
- 229910052710 silicon Inorganic materials 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 230000001276 controlling effect Effects 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 239000000376 reactant Substances 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 230000007423 decrease Effects 0.000 description 6
- 238000010586 diagram Methods 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 125000004989 dicarbonyl group Chemical group 0.000 description 4
- QXYJCZRRLLQGCR-UHFFFAOYSA-N dioxomolybdenum Chemical compound O=[Mo]=O QXYJCZRRLLQGCR-UHFFFAOYSA-N 0.000 description 4
- 230000008018 melting Effects 0.000 description 4
- 238000002844 melting Methods 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- JKQOBWVOAYFWKG-UHFFFAOYSA-N molybdenum trioxide Chemical compound O=[Mo](=O)=O JKQOBWVOAYFWKG-UHFFFAOYSA-N 0.000 description 4
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- 229910015275 MoF 6 Inorganic materials 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 150000004820 halides Chemical class 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- WSWMGHRLUYADNA-UHFFFAOYSA-N 7-nitro-1,2,3,4-tetrahydroquinoline Chemical compound C1CCNC2=CC([N+](=O)[O-])=CC=C21 WSWMGHRLUYADNA-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000004422 calculation algorithm Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- DOYIBAKSKZZYPC-UHFFFAOYSA-N cyclopenta-1,3-diene;nickel(2+);prop-1-ene Chemical compound [Ni+2].[CH2-]C=C.C=1C=C[CH-]C=1 DOYIBAKSKZZYPC-UHFFFAOYSA-N 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 238000007740 vapor deposition Methods 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 238000003848 UV Light-Curing Methods 0.000 description 1
- MEOSMFUUJVIIKB-UHFFFAOYSA-N [W].[C] Chemical compound [W].[C] MEOSMFUUJVIIKB-UHFFFAOYSA-N 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 239000013590 bulk material Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 238000001723 curing Methods 0.000 description 1
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000002791 soaking Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- YOUIDGQAIILFBW-UHFFFAOYSA-J tetrachlorotungsten Chemical compound Cl[W](Cl)(Cl)Cl YOUIDGQAIILFBW-UHFFFAOYSA-J 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76864—Thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H01L27/11524—
-
- H01L27/11551—
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/30—DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
- H10B12/48—Data lines or contacts therefor
- H10B12/488—Word lines
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01042—Molybdenum [Mo]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/01—Chemical elements
- H01L2924/01074—Tungsten [W]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/30—DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
- H10B12/34—DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
- Manufacture And Refinement Of Metals (AREA)
Abstract
Description
도 2는 Mo 템플릿 상의 W bWL (buried wordline) 을 포함하는 DRAM 아키텍처의 개략적인 예를 도시한다.
도 3a는 3D NAND 구조의 W 워드라인의 개략적인 예를 도시한다.
도 3b는 Mo 템플릿 층을 포함하는 W 워드라인의 재료 스택을 도시한다.
도 4는 전도성 재료를 증착하는 방법의 동작들을 예시하는 프로세스 흐름도이다.
도 5는 텅스텐으로 피처를 충진하는 방법의 동작들을 예시하는 프로세스 흐름도이다.
도 6은 몰리브덴 템플릿 상에 증착된 텅스텐 막의 이미지를 도시한다.
도 7은 800 ℃에서 어닐링 후 다양한 두께들의 Mo 막들에 대한 저항률의 감소를 도시하는 그래프이다.
도 8은 본 명세서에 기술된 실시 예들에 따른 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다.
Claims (27)
- 기판 상의 피처 내에 몰리브덴 (Mo)-함유 층을 증착하는 단계; 및
상기 피처를 텅스텐으로 충진하도록 상기 Mo-함유 층 상에 텅스텐 (W) 을 증착하는 단계를 포함하는, 방법. - 제 1 항에 있어서,
텅스텐을 증착하기 전에 상기 Mo-함유 층을 열적으로 어닐링하는 단계를 더 포함하는, 방법. - 제 1 항에 있어서,
상기 Mo-함유 층은 W 입자 성장을 위한 템플릿인, 방법. - 제 1 항에 있어서,
상기 Mo-함유 층은 1 (atomic) % 미만의 불순물들을 갖는 원소 Mo인, 방법. - 제 1 항에 있어서,
상기 Mo-함유 층은 1 내지 10 ㎚ 두께인, 방법. - 제 1 항에 있어서,
상기 Mo-함유 층은 유전체 층 위에 놓이는, 방법. - 제 1 항에 있어서,
상기 Mo-함유 층은 불소 불순물들이 없는, 방법. - 제 1 항에 있어서,
상기 Mo-함유 층을 증착하는 단계를 더 포함하는, 방법. - 제 8 항에 있어서,
상기 Mo-함유 층은 하나 이상의 염화 몰리브덴 전구체들로부터 증착되는, 방법. - 제 9 항에 있어서,
상기 하나 이상의 몰리브덴 클로라이드 전구체들은 몰리브덴 펜타클로라이드 (MoCl5), 몰리브덴 디클로라이드 디옥사이드 (MoO2Cl2), 및 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4) 로부터 선택되는, 방법. - 제 8 항에 있어서,
상기 Mo-함유 층을 증착하는 단계는 몰리브덴 클로라이드 전구체가 수소에 의해 환원되는 원자 층 증착 프로세스를 수행하는 단계를 포함하는, 방법. - 제 1 항에 있어서,
상기 텅스텐은 텅스텐 헥사플루오라이드를 사용하여 증착되는, 방법. - 제 1 항에 있어서,
상기 Mo-함유 층의 평균 결정자 크기는 적어도 20 ㎚인, 방법. - 제 1 항에 있어서,
상기 텅스텐의 평균 결정자는 적어도 20 ㎚인, 방법. - 제 1 항에 있어서,
상기 텅스텐은 핵생성 층을 증착하지 않고 증착되는, 방법. - 제 1 항에 있어서,
상기 텅스텐은 원자 층 증착 (ALD) 에 의해 증착되는, 방법. - 부분적으로 제조된 반도체 기판의 3D 구조체를 텅스텐으로 충진하는 방법에 있어서, 상기 3D 구조체는 측벽들, 개구부들을 통해 유체적으로 액세스가능한 복수의 내부 영역들을 갖는 복수의 피처들로 이어지는 상기 측벽들 내의 상기 복수의 개구부들을 포함하고, 상기 방법은,
제 1 몰리브덴 층이 상기 3-D 구조체의 상기 복수의 피처들을 컨포멀하게 (conformally) 라이닝하도록 상기 3-D 구조체 내에 상기 제 1 몰리브덴 층을 증착하는 단계; 및 상기 피처를 텅스텐으로 충진하도록 상기 Mo-함유 층 상에 텅스텐 (W) 을 증착하는 단계를 포함하는, 방법. - 기판 상에 1 내지 5 ㎚ 두께의 전도성 템플릿 층을 형성하는 단계;
상기 전도성 템플릿 층을 갖는 입자 크기를 증가시키도록 상기 전도성 템플릿 층을 어닐링하는 단계; 및
상기 템플릿 층 상에 벌크 전도성 층을 형성하는 단계를 포함하고, 상기 벌크 전도성 층의 상기 입자들은 상기 전도성 템플릿 층의 입자들을 따르는, 방법. - 제 18 항에 있어서,
상기 전도성 템플릿 층은 몰리브덴인, 방법. - 제 19 항에 있어서,
상기 벌크 전도성 층은 텅스텐, 코발트, 루테늄, 니켈, 및 텅스텐, 코발트, 루테늄, 니켈 중 적어도 하나를 함유하는 합금들 중 하나로 구성된 그룹으로부터 선택되는, 방법. - 갭들에 의해 분리된 복수의 옥사이드 층들을 갖는 부분적으로 제조된 3-D NAND 구조를 제공하는 단계; 및
상기 갭들 내에 몰리브덴 템플릿 층을 컨포멀하게 증착하는 단계를 포함하는, 방법. - 제 21 항에 있어서,
상기 몰리브덴 템플릿 층은 약 1 내지 10 ㎚ 두께인, 방법. - 제 21 항에 있어서,
상기 몰리브덴 템플릿 층은 옥사이드 표면 상에 바로 증착되는, 방법. - 제 21 항에 있어서,
상기 몰리브덴 템플릿 층은 1 내지 5 ㎚ 두께인, 방법. - 제 21 항에 있어서,
상기 갭들을 텅스텐으로 충진하는 단계를 더 포함하는, 방법. - 각각 기판을 하우징하도록 구성된 하나 이상의 챔버들;
상기 하나 이상의 챔버들 각각의 지지 기판;
가스를 상기 하나 이상의 챔버들 각각으로 지향시키도록 구성된 가스 유입구들;
챔버 각각에서 상기 기판 지지부를 가열하도록 구성된 히터; 및
제어기로서,
몰리브덴 전구체를 상기 하나 이상의 챔버들 내로 유입시키는 단계; 및
상기 몰리브덴 전구체를 유입시킨 후, 텅스텐 전구체를 상기 하나 이상의 챔버들 내로 유입시키는 단계를 위한 프로그램 인스트럭션들을 포함하는, 상기 제어기를 포함하는, 장치. - 옥사이드 층들에 의해 분리된 복수의 텅스텐 워드라인들; 및
상기 텅스텐-옥사이드 계면의 몰리브덴 박막을 포함하는, 3-D NAND 구조.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020227031314A KR20220129105A (ko) | 2018-11-19 | 2019-11-18 | 텅스텐을 위한 몰리브덴 템플릿들 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862769479P | 2018-11-19 | 2018-11-19 | |
US62/769,479 | 2018-11-19 | ||
PCT/US2019/062067 WO2020106649A1 (en) | 2018-11-19 | 2019-11-18 | Molybdenum templates for tungsten |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020227031314A Division KR20220129105A (ko) | 2018-11-19 | 2019-11-18 | 텅스텐을 위한 몰리브덴 템플릿들 |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20210081436A true KR20210081436A (ko) | 2021-07-01 |
Family
ID=70773331
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020217018803A KR20210081436A (ko) | 2018-11-19 | 2019-11-18 | 텅스텐을 위한 몰리브덴 템플릿들 |
KR1020227031314A KR20220129105A (ko) | 2018-11-19 | 2019-11-18 | 텅스텐을 위한 몰리브덴 템플릿들 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020227031314A KR20220129105A (ko) | 2018-11-19 | 2019-11-18 | 텅스텐을 위한 몰리브덴 템플릿들 |
Country Status (5)
Country | Link |
---|---|
US (3) | US12148623B2 (ko) |
JP (2) | JP2022509621A (ko) |
KR (2) | KR20210081436A (ko) |
CN (1) | CN113169056A (ko) |
WO (1) | WO2020106649A1 (ko) |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11549175B2 (en) | 2018-05-03 | 2023-01-10 | Lam Research Corporation | Method of depositing tungsten and other metals in 3D NAND structures |
KR20210081436A (ko) | 2018-11-19 | 2021-07-01 | 램 리써치 코포레이션 | 텅스텐을 위한 몰리브덴 템플릿들 |
KR20210110886A (ko) | 2019-01-28 | 2021-09-09 | 램 리써치 코포레이션 | 금속 막들의 증착 |
CN113557320B (zh) | 2019-03-11 | 2024-08-27 | 朗姆研究公司 | 用于沉积含钼膜的前体 |
JP2022546404A (ja) | 2019-08-28 | 2022-11-04 | ラム リサーチ コーポレーション | 金属の堆積 |
CN114171452A (zh) * | 2020-09-10 | 2022-03-11 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US11587936B2 (en) | 2021-02-26 | 2023-02-21 | Applied Materials, Inc. | Low resistivity DRAM buried word line stack |
US11869806B2 (en) * | 2021-05-07 | 2024-01-09 | Applied Materials, Inc. | Methods of forming molybdenum contacts |
US12159804B2 (en) * | 2022-03-09 | 2024-12-03 | Applied Materials, Inc. | Tungsten molybdenum structures |
KR20240151945A (ko) * | 2023-04-12 | 2024-10-21 | 삼성전자주식회사 | 게이트 구조물 및 이의 형성 방법, 및 상기 게이트 구조물을 포함하는 반도체 장치 및 이의 제조 방법 |
US20240355673A1 (en) * | 2023-04-20 | 2024-10-24 | Applied Materials, Inc. | Hybrid molybdenum fill scheme for low resistivity semiconductor applications |
US20240371771A1 (en) * | 2023-05-04 | 2024-11-07 | Applied Materials, Inc. | Interruption layer fill for low resistance contacts |
Family Cites Families (272)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US1012671A (en) | 1911-05-16 | 1911-12-26 | Tailors Accessories Co | Cleaning-machine. |
JPS595246A (ja) | 1982-06-30 | 1984-01-12 | Konishiroku Photo Ind Co Ltd | ハロゲン化銀カラ−写真製品 |
JPH02231714A (ja) | 1989-03-03 | 1990-09-13 | Toshiba Corp | 半導体装置の製造方法 |
JP2536377B2 (ja) | 1992-11-27 | 1996-09-18 | 日本電気株式会社 | 半導体装置およびその製造方法 |
DE69432383D1 (de) | 1993-05-27 | 2003-05-08 | Applied Materials Inc | Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
KR19980071011A (ko) | 1997-01-24 | 1998-10-26 | 조셉 제이. 스위니 | 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법 |
US6035101A (en) | 1997-02-12 | 2000-03-07 | Applied Materials, Inc. | High temperature multi-layered alloy heater assembly and related methods |
US6221792B1 (en) | 1997-06-24 | 2001-04-24 | Lam Research Corporation | Metal and metal silicide nitridization in a high density, low pressure plasma reactor |
US6114242A (en) | 1997-12-05 | 2000-09-05 | Taiwan Semiconductor Manufacturing Company | MOCVD molybdenum nitride diffusion barrier for Cu metallization |
US6103609A (en) | 1997-12-11 | 2000-08-15 | Lg Semicon Co., Ltd. | Method for fabricating semiconductor device |
KR100477840B1 (ko) | 1997-12-27 | 2005-06-29 | 주식회사 하이닉스반도체 | 반도체장치의장벽금속막형성방법 |
JPH11343571A (ja) | 1998-05-29 | 1999-12-14 | Ngk Insulators Ltd | サセプター |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
FR2795745B1 (fr) | 1999-06-30 | 2001-08-03 | Saint Gobain Vitrage | Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
KR100316721B1 (ko) | 2000-01-29 | 2001-12-12 | 윤종용 | 실리사이드막을 구비한 반도체소자의 제조방법 |
JP2001284360A (ja) * | 2000-03-31 | 2001-10-12 | Hitachi Ltd | 半導体装置 |
JP2001298028A (ja) | 2000-04-17 | 2001-10-26 | Tokyo Electron Ltd | 半導体デバイス製造方法 |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US6284653B1 (en) | 2000-10-30 | 2001-09-04 | Vanguard International Semiconductor Corp. | Method of selectively forming a barrier layer from a directionally deposited metal layer |
US6271084B1 (en) * | 2001-01-16 | 2001-08-07 | Taiwan Semiconductor Manufacturing Company | Method of fabricating a metal-insulator-metal (MIM), capacitor structure using a damascene process |
US20030019428A1 (en) | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
US7262125B2 (en) | 2001-05-22 | 2007-08-28 | Novellus Systems, Inc. | Method of forming low-resistivity tungsten interconnects |
US7955972B2 (en) | 2001-05-22 | 2011-06-07 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten for high aspect ratio and small features |
US7589017B2 (en) | 2001-05-22 | 2009-09-15 | Novellus Systems, Inc. | Methods for growing low-resistivity tungsten film |
US6635965B1 (en) | 2001-05-22 | 2003-10-21 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US9076843B2 (en) * | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7141494B2 (en) | 2001-05-22 | 2006-11-28 | Novellus Systems, Inc. | Method for reducing tungsten film roughness and improving step coverage |
US20070009658A1 (en) | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
WO2003029515A2 (en) | 2001-07-16 | 2003-04-10 | Applied Materials, Inc. | Formation of composite tungsten films |
US6652713B2 (en) | 2001-08-09 | 2003-11-25 | Applied Materials, Inc. | Pedestal with integral shield |
KR101013231B1 (ko) | 2001-09-14 | 2011-02-10 | 에이에스엠 인터내셔널 엔.브이. | 환원펄스를 이용한 원자층증착에 의한 질화금속증착 |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
KR20030043201A (ko) | 2001-11-27 | 2003-06-02 | 주식회사 하이닉스반도체 | 반도체 소자의 콘택 플러그 형성방법 |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
EP1543177A1 (en) | 2002-07-12 | 2005-06-22 | President And Fellows Of Harvard College | Vapor deposition of tungsten nitride |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
JP2005026380A (ja) * | 2003-06-30 | 2005-01-27 | Toshiba Corp | 不揮発性メモリを含む半導体装置及びその製造方法 |
US7282738B2 (en) | 2003-07-18 | 2007-10-16 | Corning Incorporated | Fabrication of crystalline materials over substrates |
US9029189B2 (en) | 2003-11-14 | 2015-05-12 | President And Fellows Of Harvard College | Bicyclic guanidines, metal complexes thereof and their use in vapor deposition |
JP2005150416A (ja) | 2003-11-17 | 2005-06-09 | Hitachi Ltd | 半導体集積回路装置及びその製造方法 |
KR20050054122A (ko) | 2003-12-04 | 2005-06-10 | 성명모 | 자외선 원자층 증착법을 이용한 박막 제조 방법 |
US7115304B2 (en) | 2004-02-19 | 2006-10-03 | Nanosolar, Inc. | High throughput surface treatment on coiled flexible substrates |
DE102004010954A1 (de) | 2004-03-03 | 2005-10-06 | Novaled Gmbh | Verwendung eines Metallkomplexes als n-Dotand für ein organisches halbleitendes Matrixmaterial, organisches Halbleitermaterial und elektronisches Bauteil |
US7405143B2 (en) | 2004-03-25 | 2008-07-29 | Asm International N.V. | Method for fabricating a seed layer |
US6987063B2 (en) | 2004-06-10 | 2006-01-17 | Freescale Semiconductor, Inc. | Method to reduce impurity elements during semiconductor film deposition |
US20050282384A1 (en) | 2004-06-17 | 2005-12-22 | Hidemi Nawafune | Method for forming protective film and electroless plating bath |
US20090304914A1 (en) | 2006-08-30 | 2009-12-10 | Lam Research Corporation | Self assembled monolayer for improving adhesion between copper and barrier layer |
KR100615093B1 (ko) | 2004-08-24 | 2006-08-22 | 삼성전자주식회사 | 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법 |
US7250367B2 (en) | 2004-09-01 | 2007-07-31 | Micron Technology, Inc. | Deposition methods using heteroleptic precursors |
US20060068098A1 (en) | 2004-09-27 | 2006-03-30 | Tokyo Electron Limited | Deposition of ruthenium metal layers in a thermal chemical vapor deposition process |
US20060115590A1 (en) | 2004-11-29 | 2006-06-01 | Tokyo Electron Limited; International Business Machines Corporation | Method and system for performing in-situ cleaning of a deposition system |
TW200734482A (en) | 2005-03-18 | 2007-09-16 | Applied Materials Inc | Electroless deposition process on a contact containing silicon or silicide |
CN101184690B (zh) | 2005-04-07 | 2013-03-20 | 耶达研究与开发有限公司 | 制备无机富勒烯类纳米粒子的方法和装置 |
EP1728894B1 (en) | 2005-06-01 | 2008-10-15 | Interuniversitair Microelektronica Centrum ( Imec) | Atomic layer deposition (ald) method for producing a high quality layer |
WO2007005088A2 (en) | 2005-07-01 | 2007-01-11 | Honeywell International Inc. | Vaporizable metalorganic compounds for deposition of metals and metal-containing thin films |
US7538001B2 (en) | 2005-09-01 | 2009-05-26 | Micron Technology, Inc. | Transistor gate forming methods and integrated circuits |
US20070066060A1 (en) | 2005-09-19 | 2007-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices and fabrication methods thereof |
JP2009520374A (ja) * | 2005-12-20 | 2009-05-21 | コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ | 縦型相変化メモリセルおよびその製造方法 |
DE102006000823A1 (de) | 2006-01-05 | 2007-07-12 | H. C. Starck Gmbh & Co. Kg | Wolfram- und Molybdän-Verbindungen und ihre Verwendung für die Chemical Vapour Deposition (CVD) |
US7910907B2 (en) * | 2006-03-15 | 2011-03-22 | Macronix International Co., Ltd. | Manufacturing method for pipe-shaped electrode phase change memory |
US20070232015A1 (en) * | 2006-04-04 | 2007-10-04 | Jun Liu | Contact for memory cell |
US8278216B1 (en) | 2006-08-18 | 2012-10-02 | Novellus Systems, Inc. | Selective capping of copper |
JP4267013B2 (ja) * | 2006-09-12 | 2009-05-27 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
KR100873890B1 (ko) * | 2006-11-17 | 2008-12-15 | 삼성전자주식회사 | 상변화 메모리 유닛, 이의 제조 방법 및 이를 포함하는상변화 메모리 장치 및 그 제조 방법 |
US8821637B2 (en) | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
TWI324823B (en) * | 2007-02-16 | 2010-05-11 | Ind Tech Res Inst | Memory device and fabrications thereof |
JP2008205219A (ja) | 2007-02-20 | 2008-09-04 | Masato Toshima | シャワーヘッドおよびこれを用いたcvd装置 |
US7786006B2 (en) | 2007-02-26 | 2010-08-31 | Tokyo Electron Limited | Interconnect structures with a metal nitride diffusion barrier containing ruthenium and method of forming |
CN100577866C (zh) | 2007-02-27 | 2010-01-06 | 中微半导体设备(上海)有限公司 | 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法 |
WO2008127935A1 (en) | 2007-04-13 | 2008-10-23 | The Board Of Trustees Of The University Of Illinois | Metal complex compositions and methods for making metal-containing films |
US20080268642A1 (en) | 2007-04-20 | 2008-10-30 | Kazutaka Yanagita | Deposition of transition metal carbide containing films |
KR100883412B1 (ko) * | 2007-05-09 | 2009-02-11 | 삼성전자주식회사 | 자기 정렬된 전극을 갖는 상전이 메모리소자의 제조방법,관련된 소자 및 전자시스템 |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
KR100890047B1 (ko) | 2007-06-28 | 2009-03-25 | 주식회사 하이닉스반도체 | 반도체소자의 배선 형성방법 |
US8142847B2 (en) | 2007-07-13 | 2012-03-27 | Rohm And Haas Electronic Materials Llc | Precursor compositions and methods |
US7655567B1 (en) | 2007-07-24 | 2010-02-02 | Novellus Systems, Inc. | Methods for improving uniformity and resistivity of thin tungsten films |
US8017183B2 (en) | 2007-09-26 | 2011-09-13 | Eastman Kodak Company | Organosiloxane materials for selective area deposition of inorganic materials |
US7964040B2 (en) | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
US7772114B2 (en) | 2007-12-05 | 2010-08-10 | Novellus Systems, Inc. | Method for improving uniformity and adhesion of low resistivity tungsten film |
US9217200B2 (en) | 2007-12-21 | 2015-12-22 | Asm International N.V. | Modification of nanoimprint lithography templates by atomic layer deposition |
US8053365B2 (en) | 2007-12-21 | 2011-11-08 | Novellus Systems, Inc. | Methods for forming all tungsten contacts and lines |
KR20090101592A (ko) | 2008-03-24 | 2009-09-29 | 삼성전자주식회사 | 산화막 형성 방법 및 이를 이용한 게이트 형성 방법 |
US8324104B2 (en) | 2008-04-11 | 2012-12-04 | Freescale Semiconductor, Inc. | Surface treatment in semiconductor manufacturing |
US8058170B2 (en) | 2008-06-12 | 2011-11-15 | Novellus Systems, Inc. | Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics |
CN101752299B (zh) | 2008-12-09 | 2012-05-16 | 中芯国际集成电路制造(上海)有限公司 | 插塞结构的制作方法和插塞结构 |
KR101462154B1 (ko) | 2008-12-15 | 2014-11-14 | 주식회사 원익아이피에스 | 텅스텐 박막 증착방법 |
CN102265383B (zh) | 2008-12-31 | 2014-06-11 | 应用材料公司 | 用于沉积具有降低电阻率及改良表面形态的钨膜的方法 |
US8021974B2 (en) | 2009-01-09 | 2011-09-20 | Internatioanl Business Machines Corporation | Structure and method for back end of the line integration |
US8492817B2 (en) | 2009-02-13 | 2013-07-23 | International Business Machines Corporation | Highly scalable trench capacitor |
KR20100096488A (ko) | 2009-02-24 | 2010-09-02 | 삼성전자주식회사 | 리세스 채널 구조를 갖는 반도체 소자 |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US20100267230A1 (en) | 2009-04-16 | 2010-10-21 | Anand Chandrashekar | Method for forming tungsten contacts and interconnects with small critical dimensions |
US20110020546A1 (en) | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
US8119527B1 (en) | 2009-08-04 | 2012-02-21 | Novellus Systems, Inc. | Depositing tungsten into high aspect ratio features |
KR101604054B1 (ko) | 2009-09-03 | 2016-03-16 | 삼성전자주식회사 | 반도체 소자 및 그 형성방법 |
US8207062B2 (en) | 2009-09-09 | 2012-06-26 | Novellus Systems, Inc. | Method for improving adhesion of low resistivity tungsten/tungsten nitride layers |
TWI449170B (zh) * | 2009-12-29 | 2014-08-11 | Ind Tech Res Inst | 相變化記憶體裝置及其製造方法 |
US8642797B2 (en) | 2010-02-25 | 2014-02-04 | Air Products And Chemicals, Inc. | Amidate precursors for depositing metal containing films |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
IL213195A0 (en) | 2010-05-31 | 2011-07-31 | Rohm & Haas Elect Mat | Photoresist compositions and emthods of forming photolithographic patterns |
TWI529808B (zh) | 2010-06-10 | 2016-04-11 | Asm國際股份有限公司 | 使膜選擇性沈積於基板上的方法 |
TW201314739A (zh) | 2010-09-27 | 2013-04-01 | Astrowatt Inc | 包含半導體層及含金屬層之電子裝置及其形成方法 |
WO2012057884A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Nitrogen-containing ligands and their use in atomic layer deposition methods |
US8227785B2 (en) * | 2010-11-11 | 2012-07-24 | Micron Technology, Inc. | Chalcogenide containing semiconductors with chalcogenide gradient |
US8969823B2 (en) | 2011-01-21 | 2015-03-03 | Uchicago Argonne, Llc | Microchannel plate detector and methods for their fabrication |
DE102011012515A1 (de) | 2011-02-25 | 2012-08-30 | Umicore Ag & Co. Kg | Metallkomplexe mit N-Amino-Amidinat-Liganden |
US8865594B2 (en) | 2011-03-10 | 2014-10-21 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
CN102206387B (zh) | 2011-03-30 | 2014-04-16 | 东华大学 | 一种高分子和无机纳米粒子杂化薄膜及其制备方法 |
JP5730670B2 (ja) | 2011-05-27 | 2015-06-10 | 株式会社Adeka | 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料 |
KR101817158B1 (ko) * | 2011-06-02 | 2018-01-11 | 삼성전자 주식회사 | 적층형 캐패시터를 포함하는 상변화 메모리 장치 |
WO2013063260A1 (en) | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | High temperature tungsten metallization process |
US9112003B2 (en) * | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US9123706B2 (en) | 2011-12-21 | 2015-09-01 | Intel Corporation | Electroless filled conductive structures |
CN104136448B (zh) | 2012-01-26 | 2015-12-02 | 辛格玛艾瑞契有限责任公司 | 钼烯丙基络合物和其于薄膜沉积中的用途 |
KR102131581B1 (ko) | 2012-03-27 | 2020-07-08 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
US9637395B2 (en) | 2012-09-28 | 2017-05-02 | Entegris, Inc. | Fluorine free tungsten ALD/CVD process |
JP2014074190A (ja) | 2012-10-02 | 2014-04-24 | Tokyo Electron Ltd | 成膜装置 |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US11043386B2 (en) | 2012-10-26 | 2021-06-22 | Applied Materials, Inc. | Enhanced spatial ALD of metals through controlled precursor mixing |
US9230815B2 (en) | 2012-10-26 | 2016-01-05 | Appled Materials, Inc. | Methods for depositing fluorine/carbon-free conformal tungsten |
US9627611B2 (en) * | 2012-11-21 | 2017-04-18 | Micron Technology, Inc. | Methods for forming narrow vertical pillars and integrated circuit devices having the same |
US9546419B2 (en) | 2012-11-26 | 2017-01-17 | Applied Materials, Inc. | Method of reducing tungsten film roughness and resistivity |
US9029258B2 (en) | 2013-02-05 | 2015-05-12 | Lam Research Corporation | Through silicon via metallization |
WO2014140672A1 (en) | 2013-03-15 | 2014-09-18 | L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude | Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
US9748105B2 (en) | 2013-08-16 | 2017-08-29 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (WF6) etchback |
US11549181B2 (en) * | 2013-11-22 | 2023-01-10 | Applied Materials, Inc. | Methods for atomic layer deposition of SiCO(N) using halogenated silylamides |
US9236292B2 (en) | 2013-12-18 | 2016-01-12 | Intel Corporation | Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD) |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
TWI672737B (zh) | 2013-12-27 | 2019-09-21 | 美商蘭姆研究公司 | 允許低電阻率鎢特徵物填充之鎢成核程序 |
US11286557B2 (en) | 2014-01-24 | 2022-03-29 | Commissariat A L'energie Atomique Et Aux Engergies Alternatives | Method of forming a crystalline thin film having the formula MY2 using an ALD-formed amorphous thin film having the formula MYx as a precursor |
JP5852151B2 (ja) | 2014-02-12 | 2016-02-03 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
JP2015177006A (ja) | 2014-03-14 | 2015-10-05 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP6379550B2 (ja) | 2014-03-18 | 2018-08-29 | 東京エレクトロン株式会社 | 成膜装置 |
US9595470B2 (en) | 2014-05-09 | 2017-03-14 | Lam Research Corporation | Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor |
US20150348840A1 (en) | 2014-05-31 | 2015-12-03 | Lam Research Corporation | Methods of filling high aspect ratio features with fluorine free tungsten |
US9551074B2 (en) * | 2014-06-05 | 2017-01-24 | Lam Research Corporation | Electroless plating solution with at least two borane containing reducing agents |
US9624577B2 (en) | 2014-07-22 | 2017-04-18 | Applied Materials, Inc. | Deposition of metal doped amorphous carbon film |
TWI656232B (zh) | 2014-08-14 | 2019-04-11 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 鉬組成物及其用於形成氧化鉬膜之用途 |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9548266B2 (en) * | 2014-08-27 | 2017-01-17 | Nxp Usa, Inc. | Semiconductor package with embedded capacitor and methods of manufacturing same |
KR20170102071A (ko) | 2014-08-27 | 2017-09-06 | 울트라테크 인크. | 개선된 스루 실리콘 비아 |
US20160064409A1 (en) | 2014-08-29 | 2016-03-03 | Kabushiki Kaisha Toshiba | Non-volatile semiconductor storage device |
KR102156409B1 (ko) | 2014-09-16 | 2020-09-15 | 에스케이하이닉스 주식회사 | 패턴 형성 방법 |
US9419135B2 (en) | 2014-11-13 | 2016-08-16 | Sandisk Technologies Llc | Three dimensional NAND device having reduced wafer bowing and method of making thereof |
JP2016098406A (ja) | 2014-11-21 | 2016-05-30 | 東京エレクトロン株式会社 | モリブデン膜の成膜方法 |
US10727122B2 (en) * | 2014-12-08 | 2020-07-28 | International Business Machines Corporation | Self-aligned via interconnect structures |
US20160168699A1 (en) | 2014-12-12 | 2016-06-16 | Asm Ip Holding B.V. | Method for depositing metal-containing film using particle-reduction step |
US9502263B2 (en) | 2014-12-15 | 2016-11-22 | Applied Materials, Inc. | UV assisted CVD AlN film for BEOL etch stop application |
US9443865B2 (en) | 2014-12-18 | 2016-09-13 | Sandisk Technologies Llc | Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel |
US9520295B2 (en) | 2015-02-03 | 2016-12-13 | Lam Research Corporation | Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems |
US9953984B2 (en) * | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
JP6465699B2 (ja) | 2015-03-06 | 2019-02-06 | 株式会社Adeka | ジアザジエニル化合物、薄膜形成用原料、薄膜の製造方法及びジアザジエン化合物 |
WO2016166628A1 (en) | 2015-04-13 | 2016-10-20 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method of the same |
US20160309596A1 (en) | 2015-04-15 | 2016-10-20 | Applied Materials, Inc. | Methods for forming cobalt interconnects |
US10079144B2 (en) | 2015-04-22 | 2018-09-18 | Samsung Electronics Co., Ltd. | Composition for layered transition metal chalcogenide compound layer and method of forming layered transition metal chalcogenide compound layer |
US11183645B2 (en) | 2015-05-11 | 2021-11-23 | Nippon Hoso Kyokai | Organic thin film and method for manufacturing organic thin film, organic electroluminescence element, display device, illumination device, organic thin film solar cell, thin film transistor, and coating composition |
US10170320B2 (en) * | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
CN113652672B (zh) | 2015-05-27 | 2023-12-22 | Asm Ip 控股有限公司 | 用于含钼或钨薄膜的ald的前体的合成和用途 |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10121671B2 (en) * | 2015-08-28 | 2018-11-06 | Applied Materials, Inc. | Methods of depositing metal films using metal oxyhalide precursors |
US20170062714A1 (en) * | 2015-08-31 | 2017-03-02 | Intel Corporation | Thermally regulated electronic devices, systems, and associated methods |
US9853123B2 (en) | 2015-10-28 | 2017-12-26 | United Microelectronics Corp. | Semiconductor structure and fabrication method thereof |
KR102709084B1 (ko) | 2015-11-25 | 2024-09-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 통합 프로세스 흐름 시스템들을 통한 저-저항 콘택들을 형성하기 위한 방법들 |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US10535558B2 (en) | 2016-02-09 | 2020-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming trenches |
EP3417087A1 (en) | 2016-02-19 | 2018-12-26 | Merck Patent GmbH | Deposition of molybdenum thin films using a molybdenum carbonyl precursor |
US9837350B2 (en) | 2016-04-12 | 2017-12-05 | International Business Machines Corporation | Semiconductor interconnect structure with double conductors |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
TWI732846B (zh) | 2016-04-25 | 2021-07-11 | 美商應用材料股份有限公司 | 透過控制前驅物混合來強化金屬的空間ald |
US10214807B2 (en) | 2016-06-02 | 2019-02-26 | Lam Research Corporation | Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack |
TWI736631B (zh) | 2016-06-06 | 2021-08-21 | 韋恩州立大學 | 二氮雜二烯錯合物與胺類的反應 |
US9659998B1 (en) * | 2016-06-07 | 2017-05-23 | Macronix International Co., Ltd. | Memory having an interlayer insulating structure with different thermal resistance |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
JP6793243B2 (ja) | 2016-07-14 | 2020-12-02 | インテグリス・インコーポレーテッド | MoOCl4を使用することによるCVD Mo堆積 |
CN109563619A (zh) | 2016-07-26 | 2019-04-02 | 东京毅力科创株式会社 | 钨膜的成膜方法 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
JP6855191B2 (ja) | 2016-08-29 | 2021-04-07 | 株式会社Adeka | 原子層堆積法による金属薄膜の製造方法 |
US10566211B2 (en) | 2016-08-30 | 2020-02-18 | Lam Research Corporation | Continuous and pulsed RF plasma for etching metals |
US10643826B2 (en) * | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US9899372B1 (en) * | 2016-10-31 | 2018-02-20 | International Business Machines Corporation | Forming on-chip metal-insulator-semiconductor capacitor |
US10643904B2 (en) * | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) * | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US20180142345A1 (en) | 2016-11-23 | 2018-05-24 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
US10453744B2 (en) * | 2016-11-23 | 2019-10-22 | Entegris, Inc. | Low temperature molybdenum film deposition utilizing boron nucleation layers |
US10262945B2 (en) | 2016-11-28 | 2019-04-16 | Sandisk Technologies Llc | Three-dimensional array device having a metal containing barrier and method of making thereof |
JP2018098287A (ja) | 2016-12-09 | 2018-06-21 | 東芝メモリ株式会社 | 半導体装置の製造方法 |
CN110088875B (zh) | 2016-12-15 | 2023-09-01 | 应用材料公司 | 无成核的间隙填充ald工艺 |
US10283404B2 (en) | 2017-03-30 | 2019-05-07 | Lam Research Corporation | Selective deposition of WCN barrier/adhesion layer for interconnect |
JP7224335B2 (ja) | 2017-04-10 | 2023-02-17 | ラム リサーチ コーポレーション | モリブデンを含有する低抵抗膜 |
US12057310B2 (en) * | 2018-05-22 | 2024-08-06 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
US11177127B2 (en) * | 2017-05-24 | 2021-11-16 | Versum Materials Us, Llc | Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films |
US10731250B2 (en) | 2017-06-06 | 2020-08-04 | Lam Research Corporation | Depositing ruthenium layers in interconnect metallization |
CN116377420A (zh) | 2017-06-23 | 2023-07-04 | 默克专利有限公司 | 用于选择性膜生长的原子层沉积方法 |
US10199267B2 (en) | 2017-06-30 | 2019-02-05 | Lam Research Corporation | Tungsten nitride barrier layer deposition |
TWI784036B (zh) | 2017-08-30 | 2022-11-21 | 荷蘭商Asm智慧財產控股公司 | 層形成方法 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US20190067014A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor device structures |
US20190067003A1 (en) | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US11049714B2 (en) * | 2017-09-19 | 2021-06-29 | Versum Materials Us, Llc | Silyl substituted organoamines as precursors for high growth rate silicon-containing films |
US10096475B1 (en) | 2017-11-17 | 2018-10-09 | Lam Research Corporation | System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks |
US10727117B2 (en) | 2017-11-20 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor structure |
US20200402846A1 (en) | 2017-11-20 | 2020-12-24 | Lam Research Corporation | Self-limiting growth |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
WO2019103995A1 (en) | 2017-11-22 | 2019-05-31 | Applied Materials, Inc. | Methods of reducing or eliminating defects in tungsten film |
KR102476262B1 (ko) | 2017-12-14 | 2022-12-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들 |
US10381411B2 (en) * | 2017-12-15 | 2019-08-13 | Sandisk Technologies Llc | Three-dimensional memory device containing conformal wrap around phase change material and method of manufacturing the same |
US11560625B2 (en) | 2018-01-19 | 2023-01-24 | Entegris, Inc. | Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor |
TW201939628A (zh) | 2018-03-02 | 2019-10-01 | 美商微材料有限責任公司 | 移除金屬氧化物的方法 |
JP6773711B2 (ja) | 2018-03-27 | 2020-10-21 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US11549175B2 (en) | 2018-05-03 | 2023-01-10 | Lam Research Corporation | Method of depositing tungsten and other metals in 3D NAND structures |
US10889891B2 (en) | 2018-05-04 | 2021-01-12 | Applied Materials, Inc. | Apparatus for gaseous byproduct abatement and foreline cleaning |
US11021793B2 (en) | 2018-05-31 | 2021-06-01 | L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude | Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films |
US10643846B2 (en) | 2018-06-28 | 2020-05-05 | Lam Research Corporation | Selective growth of metal-containing hardmask thin films |
US10505111B1 (en) * | 2018-07-20 | 2019-12-10 | International Business Machines Corporation | Confined phase change memory with double air gap |
CN112513323A (zh) | 2018-07-26 | 2021-03-16 | 朗姆研究公司 | 纯金属膜的沉积 |
US12014928B2 (en) | 2018-07-31 | 2024-06-18 | Lam Research Corporation | Multi-layer feature fill |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11387112B2 (en) | 2018-10-04 | 2022-07-12 | Tokyo Electron Limited | Surface processing method and processing system |
US10510951B1 (en) * | 2018-10-24 | 2019-12-17 | Taiwan Semicondutor Manufacturing Co., Ltd. | Low temperature film for PCRAM sidewall protection |
US11362277B2 (en) * | 2018-11-14 | 2022-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Sidewall protection for PCRAM device |
KR102355507B1 (ko) | 2018-11-14 | 2022-01-27 | (주)디엔에프 | 몰리브덴 함유 박막의 제조방법 및 이로부터 제조된 몰리브덴함유 박막 |
KR20210081436A (ko) | 2018-11-19 | 2021-07-01 | 램 리써치 코포레이션 | 텅스텐을 위한 몰리브덴 템플릿들 |
US10763432B2 (en) * | 2018-12-13 | 2020-09-01 | Intel Corporation | Chalcogenide-based memory architecture |
JP2022513903A (ja) | 2018-12-19 | 2022-02-09 | インテグリス・インコーポレーテッド | 還元性共反応物の存在下でタングステンまたはモリブデン層を堆積させる方法 |
US10903273B2 (en) * | 2019-01-04 | 2021-01-26 | International Business Machines Corporation | Phase change memory with gradual conductance change |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20210110886A (ko) | 2019-01-28 | 2021-09-09 | 램 리써치 코포레이션 | 금속 막들의 증착 |
CN113557320B (zh) | 2019-03-11 | 2024-08-27 | 朗姆研究公司 | 用于沉积含钼膜的前体 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11282745B2 (en) | 2019-04-28 | 2022-03-22 | Applied Materials, Inc. | Methods for filling features with ruthenium |
US12136755B2 (en) | 2019-07-16 | 2024-11-05 | Fcp Fuel Cell Powertrain Gmbh | Fuel cell system and integration back-plane for fuel cell modules |
US11891690B2 (en) | 2019-08-12 | 2024-02-06 | Applied Materials, Inc. | Molybdenum thin films by oxidation-reduction |
KR20220050192A (ko) | 2019-08-22 | 2022-04-22 | 램 리써치 코포레이션 | 반도체 디바이스 제작시 실질적으로 탄소-프리 몰리브덴-함유 막들 및 텅스텐-함유 막들 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
JP2022547025A (ja) | 2019-09-03 | 2022-11-10 | ラム リサーチ コーポレーション | モリブデン堆積 |
US11450562B2 (en) | 2019-09-16 | 2022-09-20 | Tokyo Electron Limited | Method of bottom-up metallization in a recessed feature |
US11145690B2 (en) * | 2019-09-26 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Memory device and manufacturing method thereof |
WO2021076636A1 (en) | 2019-10-15 | 2021-04-22 | Lam Research Corporation | Molybdenum fill |
KR102421233B1 (ko) | 2020-02-03 | 2022-07-18 | 주식회사 제이엔케이 | 화학기상증착 장치 |
US11821080B2 (en) | 2020-03-05 | 2023-11-21 | L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes |
US20230093011A1 (en) | 2020-03-06 | 2023-03-23 | Lam Research Corporation | Atomic layer etching of molybdenum |
US20210285102A1 (en) | 2020-03-11 | 2021-09-16 | Applied Materials, Inc. | Gap fill methods using catalyzed deposition |
US11417568B2 (en) | 2020-04-10 | 2022-08-16 | Applied Materials, Inc. | Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill |
KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
JP2023527774A (ja) | 2020-05-22 | 2023-06-30 | ラム リサーチ コーポレーション | 低抵抗率の接点および相互接続 |
KR20210156444A (ko) | 2020-06-18 | 2021-12-27 | 주식회사 아이켐스 | 몰리브데넘 함유 전구체, 이를 이용한 몰리브데넘 함유 박막 및 이의 제조 방법. |
TW202200828A (zh) | 2020-06-24 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 含鉬薄膜的氣相沉積 |
US11282711B2 (en) | 2020-07-31 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma-assisted etching of metal oxides |
KR20220058434A (ko) | 2020-10-30 | 2022-05-09 | 에이에스엠 아이피 홀딩 비.브이. | 몰리브덴 증착 방법 |
KR20230104071A (ko) | 2020-11-19 | 2023-07-07 | 램 리써치 코포레이션 | 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들 |
KR20230128428A (ko) | 2021-01-05 | 2023-09-05 | 램 리써치 코포레이션 | 피처들의 몰리브덴 증착 |
US11530477B2 (en) | 2021-01-12 | 2022-12-20 | Applied Materials, Inc. | Cycloheptatriene molybdenum (0) precursors for deposition of molybdenum films |
US12060370B2 (en) | 2021-01-12 | 2024-08-13 | Applied Materials, Inc. | Molybdenum (0) precursors for deposition of molybdenum films |
US11434254B2 (en) | 2021-01-12 | 2022-09-06 | Applied Materials, Inc. | Dinuclear molybdenum precursors for deposition of molybdenum-containing films |
KR20230148733A (ko) | 2021-02-23 | 2023-10-25 | 램 리써치 코포레이션 | 유전체 표면들 상의 몰리브덴에 비-금속 혼입 |
TW202245082A (zh) | 2021-03-02 | 2022-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於填補間隙的方法和系統 |
JP2024514605A (ja) | 2021-04-14 | 2024-04-02 | ラム リサーチ コーポレーション | モリブデンの堆積 |
-
2019
- 2019-11-18 KR KR1020217018803A patent/KR20210081436A/ko not_active Application Discontinuation
- 2019-11-18 WO PCT/US2019/062067 patent/WO2020106649A1/en active Application Filing
- 2019-11-18 JP JP2021527153A patent/JP2022509621A/ja active Pending
- 2019-11-18 US US17/294,378 patent/US12148623B2/en active Active
- 2019-11-18 CN CN201980076277.3A patent/CN113169056A/zh active Pending
- 2019-11-18 KR KR1020227031314A patent/KR20220129105A/ko active IP Right Grant
-
2022
- 2022-07-21 US US17/814,209 patent/US12074029B2/en active Active
- 2022-09-07 JP JP2022141888A patent/JP2022180423A/ja active Pending
-
2024
- 2024-10-04 US US18/907,394 patent/US20250029840A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20250029840A1 (en) | 2025-01-23 |
US20220359211A1 (en) | 2022-11-10 |
JP2022509621A (ja) | 2022-01-21 |
WO2020106649A1 (en) | 2020-05-28 |
JP2022180423A (ja) | 2022-12-06 |
US12148623B2 (en) | 2024-11-19 |
US12074029B2 (en) | 2024-08-27 |
CN113169056A (zh) | 2021-07-23 |
US20220013365A1 (en) | 2022-01-13 |
KR20220129105A (ko) | 2022-09-22 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US12074029B2 (en) | Molybdenum deposition | |
KR102641077B1 (ko) | 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들 | |
KR102737337B1 (ko) | 몰리브덴을 함유하는 저 저항률 막들 | |
US12014928B2 (en) | Multi-layer feature fill | |
KR20210027507A (ko) | 순수 금속 막의 증착 | |
KR20160140458A (ko) | 저 불소 함량을 가진 텅스텐 막들 | |
KR20160140448A (ko) | 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착 | |
US11970776B2 (en) | Atomic layer deposition of metal films | |
KR20210092840A (ko) | 3d nand 구조체 상의 원자 층 증착 | |
KR20230104542A (ko) | 텅스텐 저 저항 펄싱된 cvd | |
KR20230128428A (ko) | 피처들의 몰리브덴 증착 | |
KR20220044601A (ko) | 금속 충진 프로세스 동안 라인 벤딩 감소 | |
KR20230104071A (ko) | 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들 | |
TWI870380B (zh) | 金屬膜的沉積 | |
TWI863919B (zh) | 純金屬膜的沉積 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0105 | International application |
Patent event date: 20210617 Patent event code: PA01051R01D Comment text: International Patent Application |
|
PG1501 | Laying open of application | ||
PA0104 | Divisional application for international application |
Comment text: Divisional Application for International Patent Patent event code: PA01041R01D Patent event date: 20220908 |
|
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20221109 Comment text: Request for Examination of Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20240301 Patent event code: PE09021S01D |
|
E601 | Decision to refuse application | ||
PE0601 | Decision on rejection of patent |
Patent event date: 20241028 Comment text: Decision to Refuse Application Patent event code: PE06012S01D |