DE60030369D1 - Rechner integrierte Fertigungstechniken - Google Patents
Rechner integrierte FertigungstechnikenInfo
- Publication number
- DE60030369D1 DE60030369D1 DE60030369T DE60030369T DE60030369D1 DE 60030369 D1 DE60030369 D1 DE 60030369D1 DE 60030369 T DE60030369 T DE 60030369T DE 60030369 T DE60030369 T DE 60030369T DE 60030369 D1 DE60030369 D1 DE 60030369D1
- Authority
- DE
- Germany
- Prior art keywords
- manufacturing techniques
- computer integrated
- integrated manufacturing
- computer
- techniques
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000004519 manufacturing process Methods 0.000 title 1
- 238000000034 method Methods 0.000 title 1
Classifications
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B19/00—Programme-control systems
- G05B19/02—Programme-control systems electric
- G05B19/418—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
- G05B19/41845—Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by system universality, reconfigurability, modularity
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/31—From computer integrated manufacturing till monitoring
- G05B2219/31018—Virtual factory, modules in network, can be selected and combined at will
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/31—From computer integrated manufacturing till monitoring
- G05B2219/31202—Semiconductor equipment communication standard SECS
-
- G—PHYSICS
- G05—CONTROLLING; REGULATING
- G05B—CONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
- G05B2219/00—Program-control systems
- G05B2219/30—Nc systems
- G05B2219/45—Nc applications
- G05B2219/45026—Circuit board, pcb
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/02—Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02P—CLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
- Y02P90/00—Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
- Y02P90/80—Management or planning
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Quality & Reliability (AREA)
- General Engineering & Computer Science (AREA)
- Automation & Control Theory (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Factory Administration (AREA)
- Management, Administration, Business Operations System, And Electronic Commerce (AREA)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US363966 | 1999-07-29 | ||
US09/363,966 US7069101B1 (en) | 1999-07-29 | 1999-07-29 | Computer integrated manufacturing techniques |
Publications (2)
Publication Number | Publication Date |
---|---|
DE60030369D1 true DE60030369D1 (de) | 2006-10-12 |
DE60030369T2 DE60030369T2 (de) | 2007-08-30 |
Family
ID=23432479
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE60030369T Expired - Lifetime DE60030369T2 (de) | 1999-07-29 | 2000-07-19 | Rechner integrierte Fertigungstechniken |
Country Status (7)
Country | Link |
---|---|
US (2) | US7069101B1 (de) |
EP (1) | EP1072967B1 (de) |
JP (1) | JP2001143981A (de) |
KR (1) | KR100779436B1 (de) |
DE (1) | DE60030369T2 (de) |
SG (1) | SG85207A1 (de) |
TW (1) | TW514771B (de) |
Families Citing this family (135)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6640151B1 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Multi-tool control system, method and medium |
US8028049B1 (en) * | 2000-02-01 | 2011-09-27 | Peer Intellectual Property Inc. | Apparatus and method for web-based tool management |
US9785140B2 (en) * | 2000-02-01 | 2017-10-10 | Peer Intellectual Property Inc. | Multi-protocol multi-client equipment server |
US7403984B2 (en) * | 2000-02-01 | 2008-07-22 | Asyst Technologies, Inc. | Automated tool management in a multi-protocol environment |
US7873428B2 (en) * | 2005-04-15 | 2011-01-18 | PEER Intellectual Property, Inc. | Automated job management |
CA2353238C (en) * | 2000-07-21 | 2013-10-08 | Ricoh Company Ltd. | Component management system and method |
US6708074B1 (en) | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6891627B1 (en) | 2000-09-20 | 2005-05-10 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension and overlay of a specimen |
US6673637B2 (en) | 2000-09-20 | 2004-01-06 | Kla-Tencor Technologies | Methods and systems for determining a presence of macro defects and overlay of a specimen |
US6829559B2 (en) | 2000-09-20 | 2004-12-07 | K.L.A.-Tencor Technologies | Methods and systems for determining a presence of macro and micro defects on a specimen |
US6694284B1 (en) | 2000-09-20 | 2004-02-17 | Kla-Tencor Technologies Corp. | Methods and systems for determining at least four properties of a specimen |
US6812045B1 (en) | 2000-09-20 | 2004-11-02 | Kla-Tencor, Inc. | Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation |
US6782337B2 (en) | 2000-09-20 | 2004-08-24 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension an a presence of defects on a specimen |
WO2002033603A2 (de) * | 2000-10-20 | 2002-04-25 | Siemens Aktiengesellschaft | System und verfahren zum verwalten von softwareapplikationen, insbesondere mes-applikationen |
US7188142B2 (en) | 2000-11-30 | 2007-03-06 | Applied Materials, Inc. | Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility |
US7487182B2 (en) * | 2001-01-23 | 2009-02-03 | Conformia Software, Inc. | Systems and methods for managing the development and manufacturing of a drug |
US7801777B2 (en) * | 2001-01-23 | 2010-09-21 | Oracle International Corporation | System and method for managing the development and manufacturing of a beverage |
US20020165806A1 (en) * | 2001-01-23 | 2002-11-07 | Kataria Anjali Rani | System and method for managing a regulated industry |
US6801817B1 (en) * | 2001-02-20 | 2004-10-05 | Advanced Micro Devices, Inc. | Method and apparatus for integrating multiple process controllers |
US6782343B2 (en) * | 2001-02-28 | 2004-08-24 | Asm International N.V. | Resource consumption calculator |
US20020152046A1 (en) * | 2001-04-13 | 2002-10-17 | Velichko Sergey A. | Concurrent control of semiconductor parametric testing |
US6999164B2 (en) * | 2001-04-26 | 2006-02-14 | Tokyo Electron Limited | Measurement system cluster |
WO2002091248A1 (en) * | 2001-05-04 | 2002-11-14 | Therma-Wave, Inc. | Systems and methods for metrology recipe and model generation |
US7698012B2 (en) | 2001-06-19 | 2010-04-13 | Applied Materials, Inc. | Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing |
US7201936B2 (en) * | 2001-06-19 | 2007-04-10 | Applied Materials, Inc. | Method of feedback control of sub-atmospheric chemical vapor deposition processes |
US7160739B2 (en) | 2001-06-19 | 2007-01-09 | Applied Materials, Inc. | Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles |
US7031783B2 (en) * | 2001-06-29 | 2006-04-18 | Agilent Technologies, Inc. | Virtualized generic equipment model data and control router for factory automation |
US7756963B2 (en) * | 2001-07-05 | 2010-07-13 | PEER Intellectual Property, Inc. | Automated tool management in a multi-protocol environment |
US6970758B1 (en) * | 2001-07-12 | 2005-11-29 | Advanced Micro Devices, Inc. | System and software for data collection and process control in semiconductor manufacturing and method thereof |
DE10161111A1 (de) * | 2001-12-12 | 2003-07-03 | Siemens Ag | System und Verfahren zur Projektierung von Transformationen von Objektbäumen |
DE10161064A1 (de) * | 2001-12-12 | 2003-07-03 | Siemens Ag | System und Verfahren zur Kommunikation zwischen Softwareapplikationen, insbesondere MES-Applikationen |
US20030199112A1 (en) | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
JP2003308366A (ja) * | 2002-04-15 | 2003-10-31 | Mitsubishi Electric Corp | 製造管理方法 |
EP1502218A4 (de) * | 2002-04-15 | 2005-08-17 | Invensys Sys Inc | Verfahren und vorrichtungen für ein auf prozess-, fabrikhallen-, umgebungs- und computer aided manufacturing basierendes oder anderweitiges steuersystem mit echtzeitdatenverteilung |
US7006880B2 (en) * | 2002-04-19 | 2006-02-28 | Phred, Llc | Method for controlling a device with a control system |
EP1497701B1 (de) * | 2002-04-23 | 2006-05-31 | Tokyo Electron Limited | Verfahren und vorrichtung zur vereinfachten systemkonfiguration |
US7162386B2 (en) | 2002-04-25 | 2007-01-09 | Micron Technology, Inc. | Dynamically adaptable semiconductor parametric testing |
US6907305B2 (en) * | 2002-04-30 | 2005-06-14 | Advanced Micro Devices, Inc. | Agent reactive scheduling in an automated manufacturing environment |
US6701199B1 (en) * | 2002-08-22 | 2004-03-02 | Chartered Semiconductor Manufactoring Ltd. | Methodology to obtain integrated process results prior to process tools being installed |
US7234132B2 (en) | 2002-08-29 | 2007-06-19 | International Business Machines Corporation | Application integration model for dynamic software component assembly within an application at runtime |
US6957113B1 (en) * | 2002-09-06 | 2005-10-18 | National Semiconductor Corporation | Systems for allocating multi-function resources in a process system and methods of operating the same |
US20040059616A1 (en) * | 2002-09-20 | 2004-03-25 | Chih-Kuang Chang | System and method for managing measurement work flow |
CN1720490B (zh) | 2002-11-15 | 2010-12-08 | 应用材料有限公司 | 用于控制具有多变量输入参数的制造工艺的方法和系统 |
US7010451B2 (en) * | 2003-04-17 | 2006-03-07 | Micron Technology, Inc. | Dynamic creation and modification of wafer test maps during wafer testing |
JP4732726B2 (ja) * | 2003-09-09 | 2011-07-27 | セイコーインスツル株式会社 | 半導体装置の製造方法 |
US20050086120A1 (en) * | 2003-10-16 | 2005-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of managing subcontracting for backend outsourcing business |
GB0406663D0 (en) * | 2004-03-24 | 2004-04-28 | Cavendish Kinetics Ltd | Information management and tracking system (IMTS) |
US7127314B2 (en) | 2004-03-25 | 2006-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fabrication monitoring system |
WO2005101791A1 (en) * | 2004-04-16 | 2005-10-27 | Telefonaktiebolaget Lm Ericsson (Publ) | Method and apparatus for handling user's attributes sharing between service providers |
US7444197B2 (en) * | 2004-05-06 | 2008-10-28 | Smp Logic Systems Llc | Methods, systems, and software program for validation and monitoring of pharmaceutical manufacturing processes |
US7799273B2 (en) | 2004-05-06 | 2010-09-21 | Smp Logic Systems Llc | Manufacturing execution system for validation, quality and risk assessment and monitoring of pharmaceutical manufacturing processes |
US7571078B2 (en) * | 2004-05-20 | 2009-08-04 | Sap Ag | Time dependent process parameters for integrated process and product engineering |
US7603262B2 (en) * | 2004-05-20 | 2009-10-13 | Sap Ag | Time dependent process parameters and engineering change number conflict report |
GB0414336D0 (en) * | 2004-06-28 | 2004-07-28 | Mood Internat Ltd | Method and apparatus for managing and synchronising variant business structures |
US8321545B2 (en) * | 2004-07-15 | 2012-11-27 | Symbol Technologies, Inc. | Service oriented platform architecture for a wireless network |
US8108470B2 (en) * | 2004-07-22 | 2012-01-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Message management system and method |
US7069098B2 (en) | 2004-08-02 | 2006-06-27 | Advanced Micro Devices, Inc. | Method and system for prioritizing material to clear exception conditions |
US7206652B2 (en) | 2004-08-20 | 2007-04-17 | International Business Machines Corporation | Method and system for intelligent automated reticle management |
US7359768B2 (en) | 2004-10-29 | 2008-04-15 | International Business Machines Corporation | Route input system |
US7305278B2 (en) * | 2004-11-15 | 2007-12-04 | International Business Machines Corporation | Enterprise factory control method and system |
US20060107898A1 (en) * | 2004-11-19 | 2006-05-25 | Blomberg Tom E | Method and apparatus for measuring consumption of reactants |
AT501642A1 (de) * | 2005-03-25 | 2006-10-15 | Ams Engineering Sticht Ges M B | Verfahren zur steuerung einer fertigungsanlage |
US7477958B2 (en) * | 2005-05-11 | 2009-01-13 | International Business Machines Corporation | Method of release and product flow management for a manufacturing facility |
US20060271223A1 (en) * | 2005-05-27 | 2006-11-30 | International Business Machines Corporation | Method and system for integrating equipment integration software, equipment events, mes and rules databases |
US20070033180A1 (en) * | 2005-08-05 | 2007-02-08 | Mitsubishi Electric Corporation | Apparatus and method for searching for software units for use in the manufacturing industry |
US7908164B1 (en) * | 2005-08-09 | 2011-03-15 | SignalDemand, Inc. | Spot market profit optimization system |
JP4957226B2 (ja) * | 2005-12-15 | 2012-06-20 | 富士通セミコンダクター株式会社 | 製品製造に係る品質改善を支援する情報処理端末及び品質改善支援サーバ |
DE102006001257A1 (de) * | 2005-12-30 | 2007-07-12 | Advanced Micro Devices, Inc., Sunnyvale | Automatisiertes Zustandabschätzungssystem für Cluster-Anlagen und Verfahren zum Betreiben des Systems |
DE102006004408B4 (de) * | 2006-01-31 | 2010-03-18 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung |
WO2007148640A1 (ja) * | 2006-06-19 | 2007-12-27 | Hitachi Kokusai Electric Inc. | 基板処理システムおよび動作検証方法 |
US7801689B2 (en) * | 2006-07-17 | 2010-09-21 | Oracle International Corporation | Systems and methods for tracking the composition of distilled spirits in production and storage |
JP2008078630A (ja) * | 2006-08-24 | 2008-04-03 | Hitachi Kokusai Electric Inc | 基板処理システム |
JP5045042B2 (ja) * | 2006-09-19 | 2012-10-10 | 富士通株式会社 | 業務フロー編集プログラム、業務フロー編集装置および業務フロー編集方法 |
US8078296B2 (en) * | 2006-09-29 | 2011-12-13 | Rockwell Automation Technologies, Inc. | Dynamic procedure selection |
US8732658B2 (en) * | 2006-09-29 | 2014-05-20 | Rockwell Automation Technologies, Inc. | Layered interface in an industrial environment |
US8818757B2 (en) * | 2008-09-30 | 2014-08-26 | Rockwell Automation Technologies, Inc. | Modular object and host matching |
US8265775B2 (en) * | 2008-09-30 | 2012-09-11 | Rockwell Automation Technologies, Inc. | Modular object publication and discovery |
US7856279B2 (en) * | 2006-09-29 | 2010-12-21 | Rockwell Automation Technologies, Inc. | Module structure and use for industrial control systems |
US8776092B2 (en) * | 2006-09-29 | 2014-07-08 | Rockwell Automation Technologies, Inc. | Multiple interface support |
US9058032B2 (en) * | 2006-09-29 | 2015-06-16 | Rockwell Automation Technologies, Inc. | Hosting requirements for services |
US9261877B2 (en) * | 2006-09-29 | 2016-02-16 | Rockwell Automation Technologies, Inc. | Multiple machine interface |
US9217998B2 (en) * | 2006-09-29 | 2015-12-22 | Rockwell Automation Technologies, Inc. | Management and development of an industrial environment |
US8041435B2 (en) * | 2008-09-30 | 2011-10-18 | Rockwell Automation Technologies, Inc. | Modular object dynamic hosting |
US7835805B2 (en) * | 2006-09-29 | 2010-11-16 | Rockwell Automation Technologies, Inc. | HMI views of modules for industrial control systems |
US20080082577A1 (en) * | 2006-09-29 | 2008-04-03 | Rockwell Automation Technologies, Inc. | Module classification and searching for industrial control systems |
US7912560B2 (en) * | 2006-09-29 | 2011-03-22 | Rockwell Automation Technologies, Inc. | Module and controller operation for industrial control systems |
EP2095134B1 (de) | 2006-10-20 | 2017-02-22 | FEI Company | Verfahren und vorrichtung zur probenextraktion und -handhabung |
EP2106555B1 (de) | 2006-10-20 | 2015-01-07 | FEI Company | Verfahren zur s/tem-probenanalyse |
US7610177B2 (en) | 2006-10-27 | 2009-10-27 | Hewlett-Packard Development Company, L.P. | Manufacturing execution system, equipment interface and method of operating a manufacturing execution system |
TWI323016B (en) * | 2006-12-25 | 2010-04-01 | Ind Tech Res Inst | Real-time fault detection and classification system in use with a semiconductor fabrication process |
US20080301012A1 (en) * | 2007-05-31 | 2008-12-04 | Cogswell Thomas A | Methods and systems for distributing computer modeled product design and manufacture data to peripheral systems |
US8738410B2 (en) * | 2007-05-31 | 2014-05-27 | The Boeing Company | Methods and systems for managing electronic work instructions for manufacture of product |
WO2009028537A1 (ja) * | 2007-08-29 | 2009-03-05 | Tokyo Electron Limited | 製造装置、情報処理方法、及びプログラム |
US8825189B2 (en) * | 2007-11-13 | 2014-09-02 | Fisher Rosemount Systems, Inc. | Methods and apparatus to execute an auxiliary recipe and a batch recipe associated with a process control system |
US20090157216A1 (en) * | 2007-12-14 | 2009-06-18 | Chandrashekar Krishnaswamy | Automated scheduling of test wafer builds in a semiconductor manufacturing process flow |
US8555206B2 (en) * | 2007-12-21 | 2013-10-08 | Fisher-Rosemount Systems, Inc. | Methods and apparatus to present recipe progress status information |
US20090200674A1 (en) * | 2008-02-07 | 2009-08-13 | International Business Machines Corporation | Structure and method of forming transitional contacts between wide and thin beol wirings |
US20100057507A1 (en) * | 2008-08-29 | 2010-03-04 | International Business Machines Corporation | Real-Time Manufacturing Routing Optimization Using Content and Quality Level |
US8606379B2 (en) * | 2008-09-29 | 2013-12-10 | Fisher-Rosemount Systems, Inc. | Method of generating a product recipe for execution in batch processing |
US9335761B2 (en) * | 2008-09-30 | 2016-05-10 | Rockwell Automation Technologies, Inc. | Procedure classification for industrial automation |
US8151814B2 (en) * | 2009-01-13 | 2012-04-10 | Asm Japan K.K. | Method for controlling flow and concentration of liquid precursor |
TWI394089B (zh) * | 2009-08-11 | 2013-04-21 | Univ Nat Cheng Kung | 虛擬生產管制系統與方法及其電腦程式產品 |
US8429671B2 (en) * | 2009-10-21 | 2013-04-23 | Exxonmobil Upstream Research Company | Integrated workflow builder for disparate computer programs |
US9014827B2 (en) * | 2010-01-14 | 2015-04-21 | International Business Machines Corporation | Dynamically generating a manufacturing production work flow with selectable sampling strategies |
US8670857B2 (en) * | 2010-02-02 | 2014-03-11 | Applied Materials, Inc. | Flexible process condition monitoring |
US9530109B2 (en) * | 2010-09-28 | 2016-12-27 | International Business Machines Corporation | Iterative pattern generation algorithm for plate design problems |
US8745634B2 (en) | 2010-10-15 | 2014-06-03 | Invensys Systems, Inc. | System and method for integrated workflow scaling |
US20120095585A1 (en) * | 2010-10-15 | 2012-04-19 | Invensys Systems Inc. | System and Method for Workflow Integration |
US9354630B2 (en) | 2011-05-19 | 2016-05-31 | Universal Laser Systems, Inc. | Flexible laser manufacturing systems and associated methods of use and manufacture |
US8420531B2 (en) | 2011-06-21 | 2013-04-16 | International Business Machines Corporation | Enhanced diffusion barrier for interconnect structures |
US8713517B2 (en) * | 2011-07-21 | 2014-04-29 | Lam Research Corporation | Data architecture and user interface for plasma processing related software applications |
US9594367B2 (en) * | 2011-10-31 | 2017-03-14 | Rockwell Automation Technologies, Inc. | Systems and methods for process control including process-initiated workflow |
US9953280B2 (en) | 2011-11-15 | 2018-04-24 | Rockwell Automation Technologies, Inc. | Industry-specific workflows in a manufacturing execution system with premier integration |
US9551983B2 (en) * | 2011-11-15 | 2017-01-24 | Rockwell Automation Technologies, Inc. | Activity set management in a Manufacturing Execution System |
US9588503B2 (en) | 2011-11-15 | 2017-03-07 | Rockwell Automation Technologies, Inc. | Routing of enterprise resource planning messages |
EP2610697B1 (de) | 2011-12-28 | 2018-04-25 | Yokogawa Electric Corporation | System und Verfahren zur Verwaltung des Lebenszyklus von Losen in Produktionssteuerungssystemen in Echtzeit |
EP2881903A1 (de) * | 2013-12-03 | 2015-06-10 | Siemens Aktiengesellschaft | Verwaltung von Entwurfsaktualisierungen in einem Produktionsausführungssystem |
US20140173554A1 (en) * | 2014-02-24 | 2014-06-19 | Arunav Gupta | Platform and a method for development of a software application |
US20170032016A1 (en) | 2014-03-07 | 2017-02-02 | SYSTEMA Systementwicklung Dip. -inf. Manfred Austen GmbH | Real-time information systems and methodology based on continuous homomorphic processing in linear information spaces |
CN104281088B (zh) * | 2014-05-27 | 2017-07-28 | 南京钢铁股份有限公司 | 一种热轧板带轧机的二级系统过程控制方法 |
US10372834B2 (en) | 2016-01-15 | 2019-08-06 | DISCUS Software Company | Creating and using an integrated technical data package |
US10177091B2 (en) | 2016-02-19 | 2019-01-08 | Globalfoundries Inc. | Interconnect structure and method of forming |
US9761484B1 (en) | 2016-07-25 | 2017-09-12 | International Business Machines Corporation | Interconnect structure and fabrication thereof |
US9773735B1 (en) | 2016-08-16 | 2017-09-26 | International Business Machines Corporation | Geometry control in advanced interconnect structures |
US9953864B2 (en) | 2016-08-30 | 2018-04-24 | International Business Machines Corporation | Interconnect structure |
US9786603B1 (en) | 2016-09-22 | 2017-10-10 | International Business Machines Corporation | Surface nitridation in metal interconnects |
US9721895B1 (en) | 2016-10-06 | 2017-08-01 | International Business Machines Corporation | Self-formed liner for interconnect structures |
US10299560B1 (en) | 2017-11-10 | 2019-05-28 | Follicle, LLC | Battery operated hair dryer |
US11133216B2 (en) | 2018-06-01 | 2021-09-28 | International Business Machines Corporation | Interconnect structure |
US10916503B2 (en) | 2018-09-11 | 2021-02-09 | International Business Machines Corporation | Back end of line metallization structure |
US10714382B2 (en) | 2018-10-11 | 2020-07-14 | International Business Machines Corporation | Controlling performance and reliability of conductive regions in a metallization network |
CN110297577A (zh) * | 2019-05-07 | 2019-10-01 | 惠科股份有限公司 | 画面显示控制方法 |
DE102019209110A1 (de) * | 2019-06-24 | 2020-12-24 | Sms Group Gmbh | Industrielle Anlage, insbesondere Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie und Verfahren zum Betreiben einer industriellen Anlage, insbesondere einer Anlage der metallerzeugenden Industrie oder der Aluminium- oder Stahlindustrie |
US11803849B1 (en) | 2020-07-30 | 2023-10-31 | Mark Lawrence | Method and apparatus for decentralized micro businesses |
CN112580997B (zh) * | 2020-12-24 | 2021-07-27 | 上海赛美特软件科技有限公司 | 数据收集方法及系统、spc系统及计算机存储介质 |
Family Cites Families (169)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US17256A (en) * | 1857-05-12 | Portable barrack | ||
US3205485A (en) | 1960-10-21 | 1965-09-07 | Ti Group Services Ltd | Screening vane electro-mechanical transducer |
US3229198A (en) | 1962-09-28 | 1966-01-11 | Hugo L Libby | Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample |
US3767900A (en) | 1971-06-23 | 1973-10-23 | Cons Paper Inc | Adaptive controller having optimal filtering |
CH569321A5 (de) | 1973-10-03 | 1975-11-14 | Siemens Ag | |
US4000458A (en) | 1975-08-21 | 1976-12-28 | Bell Telephone Laboratories, Incorporated | Method for the noncontacting measurement of the electrical conductivity of a lamella |
US4209744A (en) | 1976-04-29 | 1980-06-24 | Fedosenko Jury K | Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques |
US4207520A (en) | 1978-04-06 | 1980-06-10 | The United States Of America As Represented By The Secretary Of The Air Force | Multiple frequency digital eddy current inspection system |
US4302721A (en) | 1978-05-08 | 1981-11-24 | Tencor Instruments | Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers |
US4368510A (en) | 1980-10-20 | 1983-01-11 | Leeds & Northrup Company | Automatic identification system for self tuning process controller |
US4609870A (en) | 1981-03-27 | 1986-09-02 | Hocking Electronics Limited | Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator |
US4616308A (en) | 1983-11-15 | 1986-10-07 | Shell Oil Company | Dynamic process control |
EP0162670B1 (de) | 1984-05-19 | 1991-01-02 | British Aerospace Public Limited Company | Industrielle Verarbeitungs- und Herstellungsverfahren |
US4967381A (en) | 1985-04-30 | 1990-10-30 | Prometrix Corporation | Process control interface system for managing measurement data |
US4663703A (en) | 1985-10-02 | 1987-05-05 | Westinghouse Electric Corp. | Predictive model reference adaptive controller |
FR2589566A1 (fr) | 1985-11-06 | 1987-05-07 | Cegedur | Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault |
US4750141A (en) | 1985-11-26 | 1988-06-07 | Ade Corporation | Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same |
US4755753A (en) | 1986-07-23 | 1988-07-05 | General Electric Company | Eddy current surface mapping system for flaw detection |
US5260868A (en) | 1986-08-11 | 1993-11-09 | Texas Instruments Incorporate | Method for calendaring future events in real-time |
US4796194A (en) | 1986-08-20 | 1989-01-03 | Atherton Robert W | Real world modeling and control process |
US4901218A (en) | 1987-08-12 | 1990-02-13 | Renishaw Controls Limited | Communications adaptor for automated factory system |
US4938600A (en) | 1989-02-09 | 1990-07-03 | Interactive Video Systems, Inc. | Method and apparatus for measuring registration between layers of a semiconductor wafer |
US4957605A (en) | 1989-04-17 | 1990-09-18 | Materials Research Corporation | Method and apparatus for sputter coating stepped wafers |
JP2780814B2 (ja) | 1989-06-22 | 1998-07-30 | 株式会社日立製作所 | 生産管理システム |
US6345288B1 (en) * | 1989-08-31 | 2002-02-05 | Onename Corporation | Computer-based communication system and method using metadata defining a control-structure |
US5089970A (en) | 1989-10-05 | 1992-02-18 | Combustion Engineering, Inc. | Integrated manufacturing system |
US5108570A (en) | 1990-03-30 | 1992-04-28 | Applied Materials, Inc. | Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer |
US5485082A (en) | 1990-04-11 | 1996-01-16 | Micro-Epsilon Messtechnik Gmbh & Co. Kg | Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like |
US5236868A (en) | 1990-04-20 | 1993-08-17 | Applied Materials, Inc. | Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system |
US5208765A (en) | 1990-07-20 | 1993-05-04 | Advanced Micro Devices, Inc. | Computer-based method and system for product development |
US5495417A (en) | 1990-08-14 | 1996-02-27 | Kabushiki Kaisha Toshiba | System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line |
US5220517A (en) | 1990-08-31 | 1993-06-15 | Sci Systems, Inc. | Process gas distribution system and method with supervisory control |
AU9030391A (en) | 1990-10-16 | 1992-05-20 | Consilium, Inc. | Object-oriented architecture for factory floor management |
US5295242A (en) | 1990-11-02 | 1994-03-15 | Consilium, Inc. | Apparatus and method for viewing relationships in a factory management system |
US5270222A (en) | 1990-12-31 | 1993-12-14 | Texas Instruments Incorporated | Method and apparatus for semiconductor device fabrication diagnosis and prognosis |
US5226118A (en) | 1991-01-29 | 1993-07-06 | Prometrix Corporation | Data analysis system and method for industrial process control systems |
WO1992014197A1 (en) | 1991-02-08 | 1992-08-20 | Kabushiki Kaisha Toshiba | Model forecasting controller |
US5430836A (en) * | 1991-03-01 | 1995-07-04 | Ast Research, Inc. | Application control module for common user access interface |
GB2257507B (en) | 1991-06-26 | 1995-03-01 | Digital Equipment Corp | Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection |
US5469361A (en) | 1991-08-08 | 1995-11-21 | The Board Of Regents Acting For And On Behalf Of The University Of Michigan | Generic cell controlling method and apparatus for computer integrated manufacturing system |
US5240552A (en) | 1991-12-11 | 1993-08-31 | Micron Technology, Inc. | Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection |
US5309221A (en) | 1991-12-31 | 1994-05-03 | Corning Incorporated | Measurement of fiber diameters with high precision |
JP3154425B2 (ja) | 1992-01-07 | 2001-04-09 | フクダ電子株式会社 | 心電図情報記録方法及び装置 |
US5525808A (en) | 1992-01-23 | 1996-06-11 | Nikon Corporaton | Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions |
US5283141A (en) | 1992-03-05 | 1994-02-01 | National Semiconductor | Photolithography control system and method using latent image measurements |
US5857258A (en) * | 1992-03-13 | 1999-01-12 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate |
US5602492A (en) | 1992-03-13 | 1997-02-11 | The United States Of America As Represented By The Secretary Of Commerce | Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate |
FR2700403B1 (fr) | 1993-01-12 | 1995-04-07 | Sextant Avionique | Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne. |
US5490097A (en) | 1993-03-22 | 1996-02-06 | Fujitsu Limited | System and method for modeling, analyzing and executing work process plans |
US5586039A (en) | 1993-03-29 | 1996-12-17 | Texas Instruments Incorporated | Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components |
US5369544A (en) | 1993-04-05 | 1994-11-29 | Ford Motor Company | Silicon-on-insulator capacitive surface micromachined absolute pressure sensor |
US5367624A (en) | 1993-06-11 | 1994-11-22 | Consilium, Inc. | Interface for controlling transactions in a manufacturing execution system |
US5402367A (en) | 1993-07-19 | 1995-03-28 | Texas Instruments, Incorporated | Apparatus and method for model based process control |
US5642296A (en) | 1993-07-29 | 1997-06-24 | Texas Instruments Incorporated | Method of diagnosing malfunctions in semiconductor manufacturing equipment |
JP3039210B2 (ja) | 1993-08-03 | 2000-05-08 | 日本電気株式会社 | 半導体装置の製造方法 |
US5700180A (en) * | 1993-08-25 | 1997-12-23 | Micron Technology, Inc. | System for real-time control of semiconductor wafer polishing |
US5408405A (en) | 1993-09-20 | 1995-04-18 | Texas Instruments Incorporated | Multi-variable statistical process controller for discrete manufacturing |
US5546312A (en) | 1993-09-20 | 1996-08-13 | Texas Instruments Incorporated | Use of spatial models for simultaneous control of various non-uniformity metrics |
US5503707A (en) | 1993-09-22 | 1996-04-02 | Texas Instruments Incorporated | Method and apparatus for process endpoint prediction based on actual thickness measurements |
DE69425100T2 (de) | 1993-09-30 | 2001-03-15 | Koninklijke Philips Electronics N.V., Eindhoven | Dynamisches neuronales Netzwerk |
US5497381A (en) | 1993-10-15 | 1996-03-05 | Analog Devices, Inc. | Bitstream defect analysis method for integrated circuits |
US5375064A (en) | 1993-12-02 | 1994-12-20 | Hughes Aircraft Company | Method and apparatus for moving a material removal tool with low tool accelerations |
US5526293A (en) | 1993-12-17 | 1996-06-11 | Texas Instruments Inc. | System and method for controlling semiconductor wafer processing |
US5420796A (en) | 1993-12-23 | 1995-05-30 | Vlsi Technology, Inc. | Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication |
EP0665577A1 (de) | 1994-01-28 | 1995-08-02 | Applied Materials, Inc. | Verfahren und Vorrichtung zur Überwachung der Niederschlagsrate von Schichten während physikalischem Dampf-Niederschlag |
US5664987A (en) | 1994-01-31 | 1997-09-09 | National Semiconductor Corporation | Methods and apparatus for control of polishing pad conditioning for wafer planarization |
US5511005A (en) | 1994-02-16 | 1996-04-23 | Ade Corporation | Wafer handling and processing system |
US5666297A (en) | 1994-05-13 | 1997-09-09 | Aspen Technology, Inc. | Plant simulation and optimization software apparatus and method using dual execution models |
US5629216A (en) | 1994-06-30 | 1997-05-13 | Seh America, Inc. | Method for producing semiconductor wafers with low light scattering anomalies |
US5757259A (en) * | 1994-07-28 | 1998-05-26 | Caterpillar Inc. | Anti-rotation device for joining a shell and encapsulated terminal/coil subassembly |
JP3402412B2 (ja) | 1994-09-20 | 2003-05-06 | 株式会社リコー | プロセスシミュレーション入力データ設定装置 |
EP0706209A3 (de) | 1994-10-06 | 1996-12-27 | Applied Materials Inc | Flächenwiderstandsmessung |
US5519605A (en) | 1994-10-24 | 1996-05-21 | Olin Corporation | Model predictive control apparatus and method |
DE4446966A1 (de) | 1994-12-28 | 1996-07-04 | Itt Ind Gmbh Deutsche | Informationssystem zur Produktionskontrolle |
US5534289A (en) | 1995-01-03 | 1996-07-09 | Competitive Technologies Inc. | Structural crack monitoring technique |
US5617023A (en) | 1995-02-02 | 1997-04-01 | Otis Elevator Company | Industrial contactless position sensor |
US5646870A (en) | 1995-02-13 | 1997-07-08 | Advanced Micro Devices, Inc. | Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers |
US5761065A (en) | 1995-03-30 | 1998-06-02 | Advanced Micro Devices, Inc. | Arrangement and method for detecting sequential processing effects in manufacturing |
US5541510A (en) | 1995-04-06 | 1996-07-30 | Kaman Instrumentation Corporation | Multi-Parameter eddy current measuring system with parameter compensation technical field |
US5665214A (en) | 1995-05-03 | 1997-09-09 | Sony Corporation | Automatic film deposition control method and system |
US5764543A (en) | 1995-06-16 | 1998-06-09 | I2 Technologies, Inc. | Extensible model network representation system for process planning |
US5649169A (en) | 1995-06-20 | 1997-07-15 | Advanced Micro Devices, Inc. | Method and system for declustering semiconductor defect data |
US5665199A (en) | 1995-06-23 | 1997-09-09 | Advanced Micro Devices, Inc. | Methodology for developing product-specific interlayer dielectric polish processes |
US5599423A (en) | 1995-06-30 | 1997-02-04 | Applied Materials, Inc. | Apparatus and method for simulating and optimizing a chemical mechanical polishing system |
US5740429A (en) | 1995-07-07 | 1998-04-14 | Advanced Micro Devices, Inc. | E10 reporting tool |
US6036349A (en) * | 1995-07-27 | 2000-03-14 | Health Designs, Inc. | Method and apparatus for validation of model-based predictions |
US5751582A (en) | 1995-09-25 | 1998-05-12 | Texas Instruments Incorporated | Controlling process modules using site models and monitor wafer control |
US5777901A (en) | 1995-09-29 | 1998-07-07 | Advanced Micro Devices, Inc. | Method and system for automated die yield prediction in semiconductor manufacturing |
US5655951A (en) | 1995-09-29 | 1997-08-12 | Micron Technology, Inc. | Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers |
US5761064A (en) | 1995-10-06 | 1998-06-02 | Advanced Micro Devices, Inc. | Defect management system for productivity and yield improvement |
US5654903A (en) | 1995-11-07 | 1997-08-05 | Lucent Technologies Inc. | Method and apparatus for real time monitoring of wafer attributes in a plasma etch process |
US5603707A (en) | 1995-11-28 | 1997-02-18 | The Procter & Gamble Company | Absorbent article having a rewet barrier |
JP3892493B2 (ja) * | 1995-11-29 | 2007-03-14 | 大日本スクリーン製造株式会社 | 基板処理システム |
US5719796A (en) | 1995-12-04 | 1998-02-17 | Advanced Micro Devices, Inc. | System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback |
US5674787A (en) | 1996-01-16 | 1997-10-07 | Sematech, Inc. | Selective electroless copper deposited interconnect plugs for ULSI applications |
US6017143A (en) * | 1996-03-28 | 2000-01-25 | Rosemount Inc. | Device in a process system for detecting events |
WO1997036164A1 (en) * | 1996-03-28 | 1997-10-02 | Bio-Analytics, Inc., Doing Business As Biomedware | Method for measuring a degree of association for dimensionally referenced data |
US5871805A (en) * | 1996-04-08 | 1999-02-16 | Lemelson; Jerome | Computer controlled vapor deposition processes |
US5735055A (en) | 1996-04-23 | 1998-04-07 | Aluminum Company Of America | Method and apparatus for measuring the thickness of an article at a plurality of points |
KR100243636B1 (ko) * | 1996-05-14 | 2000-03-02 | 요시다 아키라 | 다이캐스팅기용 주조 제어 지원시스템 |
US5910846A (en) * | 1996-05-16 | 1999-06-08 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
US5663797A (en) | 1996-05-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers |
US5667424A (en) | 1996-09-25 | 1997-09-16 | Chartered Semiconductor Manufacturing Pte Ltd. | New chemical mechanical planarization (CMP) end point detection apparatus |
US6041263A (en) * | 1996-10-01 | 2000-03-21 | Aspen Technology, Inc. | Method and apparatus for simulating and optimizing a plant model |
US6064759A (en) * | 1996-11-08 | 2000-05-16 | Buckley; B. Shawn | Computer aided inspection machine |
US5695810A (en) | 1996-11-20 | 1997-12-09 | Cornell Research Foundation, Inc. | Use of cobalt tungsten phosphide as a barrier material for copper metallization |
US5889991A (en) * | 1996-12-06 | 1999-03-30 | International Business Machines Corp. | Method and system for customizing a palette using any java class |
US5862054A (en) * | 1997-02-20 | 1999-01-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process monitoring system for real time statistical process control |
JPH10329015A (ja) * | 1997-03-24 | 1998-12-15 | Canon Inc | 研磨装置および研磨方法 |
US6219711B1 (en) * | 1997-05-13 | 2001-04-17 | Micron Electronics, Inc. | Synchronous communication interface |
US6012048A (en) * | 1997-05-30 | 2000-01-04 | Capital Security Systems, Inc. | Automated banking system for dispensing money orders, wire transfer and bill payment |
EP0890416A3 (de) * | 1997-07-11 | 2002-09-11 | Tokyo Seimitsu Co.,Ltd. | Halbleiterscheiben Poliervorrichtung |
US6100184A (en) * | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
KR100258841B1 (ko) * | 1997-12-26 | 2000-06-15 | 윤종용 | 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법 |
EP0932194A1 (de) * | 1997-12-30 | 1999-07-28 | International Business Machines Corporation | Methode und System zur Halbleiterscheiben interaktvien in-Situ Überwachung |
KR100278600B1 (ko) * | 1998-01-14 | 2001-01-15 | 윤종용 | 반도체 제조설비 관리시스템의 설비유닛의 상태 관리방법 |
US5985497A (en) * | 1998-02-03 | 1999-11-16 | Advanced Micro Devices, Inc. | Method for reducing defects in a semiconductor lithographic process |
US6017771A (en) * | 1998-04-27 | 2000-01-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for yield loss analysis by yield management system |
US6228280B1 (en) * | 1998-05-06 | 2001-05-08 | International Business Machines Corporation | Endpoint detection by chemical reaction and reagent |
US6263255B1 (en) * | 1998-05-18 | 2001-07-17 | Advanced Micro Devices, Inc. | Advanced process control for semiconductor manufacturing |
US6381564B1 (en) * | 1998-05-28 | 2002-04-30 | Texas Instruments Incorporated | Method and system for using response-surface methodologies to determine optimal tuning parameters for complex simulators |
US6230069B1 (en) * | 1998-06-26 | 2001-05-08 | Advanced Micro Devices, Inc. | System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control |
US6395152B1 (en) * | 1998-07-09 | 2002-05-28 | Acm Research, Inc. | Methods and apparatus for electropolishing metal interconnections on semiconductor devices |
US6169931B1 (en) * | 1998-07-29 | 2001-01-02 | Southwest Research Institute | Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life |
US6210983B1 (en) * | 1998-10-21 | 2001-04-03 | Texas Instruments Incorporated | Method for analyzing probe yield sensitivities to IC design |
US6173240B1 (en) * | 1998-11-02 | 2001-01-09 | Ise Integrated Systems Engineering Ag | Multidimensional uncertainty analysis |
US6214734B1 (en) * | 1998-11-20 | 2001-04-10 | Vlsi Technology, Inc. | Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection |
US6172756B1 (en) * | 1998-12-11 | 2001-01-09 | Filmetrics, Inc. | Rapid and accurate end point detection in a noisy environment |
US6339727B1 (en) * | 1998-12-21 | 2002-01-15 | Recot, Inc. | Apparatus and method for controlling distribution of product in manufacturing process |
US6212961B1 (en) * | 1999-02-11 | 2001-04-10 | Nova Measuring Instruments Ltd. | Buffer system for a wafer handling system |
US7020537B2 (en) * | 1999-04-13 | 2006-03-28 | Semitool, Inc. | Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece |
US6334807B1 (en) * | 1999-04-30 | 2002-01-01 | International Business Machines Corporation | Chemical mechanical polishing in-situ end point system |
US6360133B1 (en) * | 1999-06-17 | 2002-03-19 | Advanced Micro Devices, Inc. | Method and apparatus for automatic routing for reentrant process |
US6204165B1 (en) * | 1999-06-24 | 2001-03-20 | International Business Machines Corporation | Practical air dielectric interconnections by post-processing standard CMOS wafers |
US6046108A (en) * | 1999-06-25 | 2000-04-04 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby |
EP1065567A3 (de) * | 1999-06-29 | 2001-05-16 | Applied Materials, Inc. | Integrierte Kontrolle einer kritischen Dimension |
US6368883B1 (en) * | 1999-08-10 | 2002-04-09 | Advanced Micro Devices, Inc. | Method for identifying and controlling impact of ambient conditions on photolithography processes |
US6287879B1 (en) * | 1999-08-11 | 2001-09-11 | Micron Technology, Inc. | Endpoint stabilization for polishing process |
US6217412B1 (en) * | 1999-08-11 | 2001-04-17 | Advanced Micro Devices, Inc. | Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad |
US6391780B1 (en) * | 1999-08-23 | 2002-05-21 | Taiwan Semiconductor Manufacturing Company | Method to prevent copper CMP dishing |
US6556881B1 (en) * | 1999-09-09 | 2003-04-29 | Advanced Micro Devices, Inc. | Method and apparatus for integrating near real-time fault detection in an APC framework |
US6368879B1 (en) * | 1999-09-22 | 2002-04-09 | Advanced Micro Devices, Inc. | Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece |
US6560504B1 (en) * | 1999-09-29 | 2003-05-06 | Advanced Micro Devices, Inc. | Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control |
US6532555B1 (en) * | 1999-10-29 | 2003-03-11 | Advanced Micro Devices, Inc. | Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework |
US6546508B1 (en) * | 1999-10-29 | 2003-04-08 | Advanced Micro Devices, Inc. | Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework |
US6355559B1 (en) * | 1999-11-18 | 2002-03-12 | Texas Instruments Incorporated | Passivation of inlaid metallization |
US6340602B1 (en) * | 1999-12-10 | 2002-01-22 | Sensys Instruments | Method of measuring meso-scale structures on wafers |
US6567717B2 (en) * | 2000-01-19 | 2003-05-20 | Advanced Micro Devices, Inc. | Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices |
US6517414B1 (en) * | 2000-03-10 | 2003-02-11 | Appied Materials, Inc. | Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus |
US6368884B1 (en) * | 2000-04-13 | 2002-04-09 | Advanced Micro Devices, Inc. | Die-based in-fab process monitoring and analysis system for semiconductor processing |
WO2002004887A1 (en) * | 2000-07-08 | 2002-01-17 | Semitool, Inc. | Methods and apparatus for processing microelectronic workpieces using metrology |
US6379980B1 (en) * | 2000-07-26 | 2002-04-30 | Advanced Micro Devices, Inc. | Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination |
US6725402B1 (en) * | 2000-07-31 | 2004-04-20 | Advanced Micro Devices, Inc. | Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework |
US6541401B1 (en) * | 2000-07-31 | 2003-04-01 | Applied Materials, Inc. | Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate |
US6708074B1 (en) * | 2000-08-11 | 2004-03-16 | Applied Materials, Inc. | Generic interface builder |
US6537912B1 (en) * | 2000-08-25 | 2003-03-25 | Micron Technology Inc. | Method of forming an encapsulated conductive pillar |
KR100366630B1 (ko) * | 2000-09-20 | 2003-01-09 | 삼성전자 주식회사 | 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법 |
US6517413B1 (en) * | 2000-10-25 | 2003-02-11 | Taiwan Semiconductor Manufacturing Company | Method for a copper CMP endpoint detection system |
US6346426B1 (en) * | 2000-11-17 | 2002-02-12 | Advanced Micro Devices, Inc. | Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements |
US6728587B2 (en) * | 2000-12-27 | 2004-04-27 | Insyst Ltd. | Method for global automated process control |
US6535783B1 (en) * | 2001-03-05 | 2003-03-18 | Advanced Micro Devices, Inc. | Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework |
US6336841B1 (en) * | 2001-03-29 | 2002-01-08 | Macronix International Co. Ltd. | Method of CMP endpoint detection |
US6549279B2 (en) * | 2001-04-09 | 2003-04-15 | Speedfam-Ipec Corporation | Method and apparatus for optical endpoint calibration in CMP |
US6540591B1 (en) * | 2001-04-18 | 2003-04-01 | Alexander J. Pasadyn | Method and apparatus for post-polish thickness and uniformity control |
US6678570B1 (en) * | 2001-06-26 | 2004-01-13 | Advanced Micro Devices, Inc. | Method and apparatus for determining output characteristics using tool state data |
US6708075B2 (en) * | 2001-11-16 | 2004-03-16 | Advanced Micro Devices | Method and apparatus for utilizing integrated metrology data as feed-forward data |
US6515368B1 (en) * | 2001-12-07 | 2003-02-04 | Advanced Micro Devices, Inc. | Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper |
US6528409B1 (en) * | 2002-04-29 | 2003-03-04 | Advanced Micro Devices, Inc. | Interconnect structure formed in porous dielectric material with minimized degradation and electromigration |
US6735492B2 (en) * | 2002-07-19 | 2004-05-11 | International Business Machines Corporation | Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings |
-
1999
- 1999-07-29 US US09/363,966 patent/US7069101B1/en not_active Expired - Fee Related
-
2000
- 2000-07-19 EP EP00115577A patent/EP1072967B1/de not_active Expired - Lifetime
- 2000-07-19 DE DE60030369T patent/DE60030369T2/de not_active Expired - Lifetime
- 2000-07-21 TW TW089114674A patent/TW514771B/zh not_active IP Right Cessation
- 2000-07-27 SG SG200004286A patent/SG85207A1/en unknown
- 2000-07-29 KR KR1020000043981A patent/KR100779436B1/ko not_active IP Right Cessation
- 2000-07-31 JP JP2000231003A patent/JP2001143981A/ja active Pending
-
2002
- 2002-02-28 US US10/084,092 patent/US7174230B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
JP2001143981A (ja) | 2001-05-25 |
EP1072967A2 (de) | 2001-01-31 |
US7174230B2 (en) | 2007-02-06 |
EP1072967B1 (de) | 2006-08-30 |
US20020156548A1 (en) | 2002-10-24 |
KR20010030038A (ko) | 2001-04-16 |
DE60030369T2 (de) | 2007-08-30 |
KR100779436B1 (ko) | 2007-11-26 |
EP1072967A3 (de) | 2001-11-21 |
US7069101B1 (en) | 2006-06-27 |
TW514771B (en) | 2002-12-21 |
SG85207A1 (en) | 2003-11-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE60030369D1 (de) | Rechner integrierte Fertigungstechniken | |
FR2797527B1 (fr) | Carte-mere | |
DE60031869D1 (de) | Kapazitiver druckwandler | |
ID26447A (id) | Turunan eritropoietin | |
NO2010019I2 (no) | 6-per-deoksy-6-per-(2-karboksetyl)tio-y-cyklodextrin | |
DE19983871T1 (de) | Fönvorrichtung | |
DE10084676T1 (de) | Einmallanzettvorrichtung | |
DE50002834D1 (de) | Handstempel | |
FI4231U1 (fi) | Kotelo | |
ATE357433T1 (de) | 4-pyrimidinyl-n-acyl-l-phenylanine | |
DE60035580D1 (de) | Halbleiter | |
ATE297895T1 (de) | 4-pyridinyl-n-acyl-l-phenylalanine | |
DE60032425D1 (de) | Ätzvorrichtung | |
DE60005110D1 (de) | Mikrometer | |
ID30214A (id) | Turunan resorsinol | |
DE50015795D1 (de) | Sektionaltor | |
DE50000805D1 (de) | Aufsitzspanner | |
DE10085008T1 (de) | Oberflächenbehandlungsdüse | |
DE60020904D1 (de) | Münzausgabevorrichtung | |
DE50003259D1 (de) | Textilie | |
DE19983890T1 (de) | Ventiltaktgebungsjustiereinrichtung | |
DE50001640D1 (de) | Nahfeldoptische untersuchungsvorrichtung | |
IT1312395B1 (it) | Struttura di orologio | |
DE10082008D2 (de) | Ausbeulgerät | |
ATE269311T1 (de) | Benzoylpyridazine |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition | ||
8328 | Change in the person/name/address of the agent |
Representative=s name: PUSCHMANN & BORCHERT, 82041 OBERHACHING |