Nothing Special   »   [go: up one dir, main page]

CN104137248B - 配置中的除污及剥除处理腔室 - Google Patents

配置中的除污及剥除处理腔室 Download PDF

Info

Publication number
CN104137248B
CN104137248B CN201380010918.8A CN201380010918A CN104137248B CN 104137248 B CN104137248 B CN 104137248B CN 201380010918 A CN201380010918 A CN 201380010918A CN 104137248 B CN104137248 B CN 104137248B
Authority
CN
China
Prior art keywords
chamber
substrate
load lock
volume
lock chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380010918.8A
Other languages
English (en)
Other versions
CN104137248A (zh
Inventor
M·J·萨里纳斯
P·B·路透
A·恩盖耶
J·A·里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201710107319.8A priority Critical patent/CN106847737B/zh
Publication of CN104137248A publication Critical patent/CN104137248A/zh
Application granted granted Critical
Publication of CN104137248B publication Critical patent/CN104137248B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明的实施例提供了包括两个或更多隔离腔室容积的一种负载锁定腔室,其中一腔室容积被配置来用于处理基板,且另一腔室容积被配置来提供冷却给基板。本发明的一实施例提供了一种负载锁定腔室,该负载锁定腔室具有形成在腔室主体组件中的至少两个隔离腔室容积。该至少两个隔离腔室容积可垂直堆迭。第一腔室容积可用于使用反应物种来处理设置于第一腔室容积中的基板。第二腔室容积可包括冷却基板支撑。

Description

配置中的除污及剥除处理腔室
技术领域
本发明的实施例一般是关于用于制造半导体基板上的装置的方法与设备。更具体地,本发明的实施例是关于一种负载锁定腔室,该负载锁定腔室包括了配置来用于处理基板的一腔室容积。
背景技术
超大型集成电路(Ultra-large-scale integrated(ULSI)circuits)可包括超过一百万的电子装置(例如晶体管),这些电子装置形成于半导体基板上(例如硅(Si)基板)并且共同配合来执行装置内的各种功能。通常,ULSI电路中所用的晶体管是互补金属氧化半导体(Metal-Oxide-semiconductor(CMOS))场效应晶体管。
等离子体蚀刻通常使用于晶体管与其他电子装置的制造中。在用于形成晶体管结构的等离子体蚀刻处理期间,一或更多层膜堆迭(例如多层的硅、多晶硅、二氧化铪(HfO2)、二氧化硅(SiO2)、金属材料、等等)通常曝露至包含至少一含有卤素的气体(例如溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)、等等)的蚀刻剂。此种处理导致含有卤素的残余物生成在所蚀刻部件、蚀刻遮罩、与基板上其他位置的表面上。
当曝露至非真空环境(例如工厂界面或基板储存盒内)及/或在连续处理期间,气体卤素与卤素型反应物(例如溴气(Br2)、氯气(Cl2)、氯化氢(HCl)、等等)可能从蚀刻期间所沉积的含有卤素的残余物释放出来。所释放的卤素与卤素基反应物产生粒子污染且导致处理系统与工厂界面内部的腐蚀,以及基板上面金属层的曝露部分的腐蚀。处理系统与工厂界面的清洗以及腐蚀部分的替换是耗时且昂贵的程序。
已经发展出数种处理来移除所蚀刻基板上的含有卤素的残余物。例如,所蚀刻基板可被转移至远端等离子体反应器,以曝露所蚀刻基板至气体混合物,气体混合物将含有卤素的残余物转换成非腐蚀的挥发性化合物,该挥发性化合物可被除去气体且抽出反应器外。但是,此种处理需要专属的处理室以及额外的步骤,导致增加的机台花费、降低的制造产率与产量、导致高的制造成本。
因此,需要有改良的方法与设备,用于从基板移除含有卤素的残余物。
发明内容
本发明的实施例通常提供用于处理基板的设备与方法。具体地,本发明的实施例提供能够处理基板的一种负载锁定腔室,例如通过曝露位于负载锁定腔室内的基板至反应物种(reactive species)。
本发明的一实施例提供一种负载锁定腔室。该负载锁定腔室包括腔室主体组件,腔室主体组件界定了彼此隔离的第一腔室容积与第二腔室容积。第一腔室容积透过两个开口而选择性地可连接至两个环境,这两个开口被配置来用于基板转移,且第二腔室容积选择性地连接至两个环境的至少一者。该负载锁定腔室还包括冷却基板支撑组件,冷却基板支撑组件设置在第一腔室容积中并且被配置来支撑且冷却冷却基板支撑组件上的基板;加热基板支撑组件,加热基板支撑组件设置在第二腔室容积中并且被配置来支撑加热基板支撑组件上的基板;以及气体分配组件,气体分配组件设置在第二腔室容积中并且被配置来提供处理气体到第二腔室容积,以用于处理设置在第二腔室容积中中的基板。
本发明的一实施例提供一种双负载锁定腔室。该双负载锁定腔室包括第一负载锁定腔室与第二负载锁定腔室,相邻地设置在单一腔室主体组件中。第一负载锁定腔室与第二负载锁定腔室的每一者包括彼此隔离的第一腔室容积与第二腔室容积。第一腔室容积透过两个开口而选择性地可连接至两个环境,两个开口被配置来用于基板转移,且第二腔室容积选择性地连接至两个处理环境的至少一者。每一负载锁定腔室亦包括冷却基板支撑组件,冷却基板支撑组件设置在第一腔室容积中并且被配置来支撑且冷却冷却基板支撑组件上的基板;加热基板支撑组件,加热基板支撑组件设置在第二腔室容积中并且被配置来支撑加热基板支撑组件上的基板;以及气体分配组件,气体分配组件设置在第二腔室容积中并且被配置来提供处理气体到第二腔室容积,以用于处理设置在第二腔室容积中的基板。
本发明的还由一实施例提供一种方法,用于从基板移除含有卤素的残余物。该方法包括:透过负载锁定腔室的第一腔室容积来转移基板到基板处理系统,负载锁定腔室的第一腔室容积耦接至基板处理系统的转移室;利用含有卤素的化学物来在一或更多个处理室中蚀刻基板,一或更多个处理室耦接至基板处理室的转移室;在负载锁定腔室的第二腔室容积中从已蚀刻基板移除含有卤素的残余物;以及在移除含有卤素的残余物之后,在负载锁定腔室的冷却基板支撑组件中冷却基板。
附图说明
所以其中本发明的上述特征可被详细了解,可参照至实施例对以上简述的本发明作更具体描述,一些实施例例示在附图中。但是,注意到,附图仅例示本发明的一般实施例,且因此不视为限制本发明范围,因为本发明可承认其他均等有效的实施例。
图1是根据本发明的一实施例的负载锁定腔室的示意剖面视图。
图2是图1的负载锁定腔室的示意剖面视图,该负载锁定腔室处于与图1不同的状态。
图3是根据本发明的另一实施例的负载锁定腔室的示意剖面视图。
图4是根据本发明的另一实施例的负载锁定腔室的示意剖面视图。
图5A是图4的负载锁定腔室的示意剖面视图,显示了升举组件。
图5B是根据本发明的一实施例的升举组件的示意透视图。
图6是根据本发明的一实施例的双负载锁定腔室结构的示意剖面视图。
图7是根据本发明的一实施例的群集工具系统的平面视图,群集工具系统包括负载锁定腔室。
图8是流程图,说明根据本发明的一实施例的用于处理基板的方法。
图9是流程图,说明根据本发明的另一实施例的用于处理基板的方法。
为了促进了解,已经在任何可能的地方使用相同的元件符号来表示附图中共同的相同元件。可了解到,在一实施例中所揭露的元件可有利地利用在其他实施例上,而不用具体详述。
具体实施方式
本发明的实施例提供设备与方法,用于制造半导体基板上的装置。更具体地,本发明的实施例提供了包括两个或更多隔离腔室容积的一种负载锁定腔室,其中一腔室容积被配置来用于处理基板,且另一腔室容积被配置来提供冷却给基板。
本发明的一实施例提供了一种负载锁定腔室,该负载锁定腔室具有形成在腔室主体组件中的至少两个隔离腔室容积。该至少两个隔离腔室容积可垂直堆迭。该两个隔离腔室容积可独立操作来增加产量。第一腔室容积可用于使用反应物种来处理设置于其中的基板,例如从基板移除卤素残余物或从基板移除光阻。第二腔室容积具有两个开口,用于在相邻接的环境之间进行基板交换,例如工厂界面的周遭环境与转移室的真空环境。在一实施例中,冷却基板支撑可设置在第二腔室容积中。冷却基板支撑允许所处理的基板在离开真空环境之前被冷却,因此,防止非所欲的反应,例如硅的氧化,这可能是因为将热基板曝露至周遭大气而导致。在一实施例中,基板支撑隔板可设置在第二腔室容积中,以容纳额外的基板于第二腔室容积中,使得进来与出去的基板可具有不同的槽,以减少交互污染且改善产量。通过在负载锁定腔室中包括用于处理基板的腔室容积,额外的位置在处理系统中变成可用,以容纳额外的处理室,因此增加产量而不会增加处理系统的占地面积。因此,通过减少当所处理基板曝露至大气时的非所欲反应,在负载锁定腔室中使用冷却基板支撑可以改善处理品质。
本发明的另一实施例包括具有三个腔室容积的负载锁定腔室。第三腔室容积可一起堆迭在用于处理基板的第一腔室容积与具有冷却基板支撑的第二腔室容积之间。类似于第二腔室容积,第三腔室容积具有两个开口,用于在相邻接的隔离环境之间进行基板交换,例如工厂界面的周遭环境与转移室的真空环境。例如,第三腔室容积可用于将进来的基板从工厂界面转移至转移室,同时第二腔室容积可用于将出去的基板从转移室转移至工厂界面。因为进来与出去的基板不共享相同的腔室容积,交互污染的可能性实质上被消除。此外,使用不同的腔室容积来用于进来与出去的基板也提供了系统的弹性。
图1是根据本发明的一实施例的负载锁定腔室100的示意剖面视图。负载锁定腔室100具有腔室主体组件110,腔室主体组件110界定了三个腔室容积110、120与130。三个腔室容积110、120与130垂直堆迭在一起,且彼此隔离。腔室容积110与120配置来用于转移基板104,且腔室容积120配置来用于处理基板104。
在一实施例中,腔室主体组件110包括侧壁111与侧壁112。侧壁111与侧壁112面向相反方向,以介接于两环境。侧壁111可适于连接至周遭环境(例如存在于工厂界面中),而侧壁112可适于连接至真空环境(例如存在于转移室中的真空环境)。负载锁定腔室100可用于在连接至侧壁111、112的两个环境之间交换基板。腔室主体组件110可另包括腔室盖116、腔室底部115与内壁113、114。内壁113、114将负载锁定腔室100的内部分成三个腔室容积120、130与140。腔室容积130、140作用为基板交换的负载锁定,且腔室容积120被配置来用于处理基板。
腔室容积120界定在侧壁111、112、腔室盖116与内壁113之间。开口121形成通过侧壁112,以允许基板被转移进与出腔室容积120。流量阀122设置来选择性密封开口121。在图1所示的实施例中,腔室容积120仅具有一个开口121来用于基板交换,因此,腔室容积120不能作用为在两个环境之间交换基板的负载锁定。在操作期间,腔室容积120可透过开口121而被选择地连接至真空处理环境。或者,额外的基板交换开口可形成通过侧壁111,以使基板可以在腔室容积120与工厂界面的环境之间交换。
加热基板支撑组件125设置在腔室容积120中,用于支撑且加热基板104。根据一实施例,加热基板支撑组件125包括嵌入的加热元件127。热绝缘体126可设置在加热基板支撑组件125与内壁113之间,以减少腔室主体组件110与加热基板支撑组件125之间的热交换。气体分配喷头123设置在加热基板支撑组件125之上的腔室容积120中。升举环组件124可移动地设置在加热基板支撑组件125与气体分配喷头123周围。升举环组件124被配置来将处理环境限制为刚好在腔室容积120中的基板支撑组件125周围之内,且升举环组件124可操作来从加热基板支撑组件125与基板转移机器人(未示)装载与卸下基板。
气体控制板101、102可用于提供处理气体至腔室容积120,透过气体分配喷头123到腔室容积120中。在一实施例中,远端等离子体源103可设置在气体控制板101、102与气体分配喷头123之间,使得处理气体的分离种类可提供至腔室容积120。替代地,RF电源可施加在气体分配喷头123与加热基板支撑组件125之间,以在腔室容积120内产生等离子体。在一实施例中,气体控制板101可提供处理气体来用于除污处理,以移除蚀刻之后的残余物质,且气体控制板102可提供处理气体来用于灰化处理,以移除光阻。
用于在负载锁定腔室的腔室容积中处理基板的设备与方法的更详细描述可在美国专利临时申请号第61/448,027号中找到,其申请于2011年3月1日,标题为“Abatementand Strip Process Chamber in a Dual Loadlock Configuration”。
腔室容积130由内壁113、114与侧壁111、112来界定。腔室容积130垂直堆迭于腔室容积120与腔室容积140之间的腔室主体组件110内。开口131、132形成通过侧壁112、111,以允许在腔室容积130与腔室主体组件110外的两个环境之间的基板交换。流量阀133被设置来选择性密封开口131。流量阀134设置来选择性密封开口132。腔室容积130可包括基板支撑组件,基板支撑组件具有至少一个基板槽来固持或储存基板支撑组件上的基板。在一实施例中,腔室容积130包括三个或更多的基板支撑销135,基板支撑销135用于支撑基板支撑销135上的基板104。三个或更多的基板支撑销135可固定地位于腔室容积130中。其他合适的基板支撑(例如隔板、边缘环、托架)可位于腔室容积130中,用于支撑基板支撑上的基板。
腔室容积130可作为负载锁定腔室,且腔室容积130可用于在连接至侧壁111、112的两个环境之间交换基板。腔室容积130亦可用于储存虚拟基板,以用于测试或腔室清洗。
腔室容积140由侧壁111、112、内壁114与腔室底部115来界定。腔室容积140位于腔室容积130之下。通道141、142形成通过侧壁112、111,以允许在腔室容积140与腔室主体组件110外的两个环境之间的基板交换。流量阀143选择性地密封开口141。流量阀144选择性地密封开口142。当流量阀133被定位成密封开口131时,流量阀133被设计成不阻塞开口141,如同图1所示。开口131、141可独立地打开与关闭,不会彼此影响。在一实施例中,流量阀133可包括阀门,阀门透过位于远离开口141的两个柱而耦接至致动器。流量阀133的阀门在打开与关闭的期间通过开口141的前方。但是,当流量阀133在关闭位置与打开位置时,开口141未被阻塞。应注意,其他合适的设计可用于使流量阀133、143可以独立操作。
冷却基板支撑组件152被配置来支撑且冷却腔室容积140中的基板104。冷却基板支撑组件152包括碟形主体145,碟形主体145具有基板支撑表面147。数个冷却通道146形成于碟形主体145中。冷却流体源148可耦接至冷却通道146,以控制碟形主体145与设置于碟形主体145上的基板104的温度。升举销149可用于从碟形主体145升举基板104。升举销149可附接至板材150,板材150耦接至致动器151。
腔室容积140可作为负载锁定腔室,且腔室容积140可用于在连接至侧壁111、112的两个环境之间交换基板。冷却基板支撑组件152在基板104通过腔室容积140时提供冷却给基板104。
图2是负载锁定腔室100的示意剖面视图,其中每一腔室容积120、130、140处于与图1所示不同的状态。在图1中,腔室容积120处于基板装载/卸下状态,其中升举环组件124已经升举且流量阀122已经打开。在图2中,腔室容积120处于处理位置,其中升举环组件124降低来限制基板104周围的处理容积而且流量阀122关闭。在图1中,腔室容积130对连接至侧壁111的周遭环境开启,其中流量阀134打开且流量阀133关闭。在图2中,腔室容积130对连接至侧壁112的真空环境开启,其中流量阀134关闭且流量阀133打开。在图1中,腔室容积140对连接至侧壁112的真空环境开启,其中流量阀143打开且流量阀144关闭。基板104停止在冷却基板支撑组件152上,以被冷却。在图2中,腔室容积140对连接至侧壁111的周遭环境开启,其中流量阀143关闭且流量阀144打开。升举销149升举来定位基板104于对准开口141的装载/卸下位置中。
负载锁定腔室100可用于基板处理系统中,以提供处理环境与工厂界面之间的界面。相较于传统的负载锁定腔室,负载锁定腔室100可提供数种改良给基板处理系统。首先,通过使基板处理腔室容积堆迭于用于负载锁定的腔室容积之上,负载锁定腔室100释放出空间来允许额外的处理工具耦接至真空转移室,因此改善系统产量而不会增加处理系统的占地面积。通过将腔室容积120专属用于处理,消除了将腔室容积120从大气抽成真空状态的需要,因此改善处理产量。第二,通过使两个腔室容积作为负载锁定,负载锁定腔室100可提供不同的路径给进来与出去的基板,因此,实质上避免处理前与处理后的基板之间的交互污染。第三,通过提供冷却基板支撑组件在腔室容积中,负载锁定腔室100可在已处理基板离开处理系统之前提供冷却给已处理基板。负载锁定腔室100减少了已处理基板上的非所欲反应,因为已冷却基板在离开处理系统之后比较不可能会跟大气环境反应。
图3是根据本发明的另一实施例的负载锁定腔室300的示意剖面视图。负载锁定腔室300类似于图1与图2的负载锁定腔室100,除了负载锁定腔室300的腔室主体组件310不包括设置于腔室容积120与140之间的腔室容积130。在负载锁定腔室300中,腔室容积140可使用作为用于进来与出去的基板的负载锁定。替代地,腔室容积120可使用作为负载锁定,其中使用形成通过侧壁111的第二开口323以及被配置来选择性密封开口323的流量阀324。相较于负载锁定腔室100,负载锁定腔室300具有较少的组件,因此,成本较少且较容易维护。
图4是根据本发明的另一实施例的负载锁定腔室400的示意剖面视图。类似于负载锁定腔室300,负载锁定腔室400的腔室主体组件410界定了两个腔室容积,腔室容积430位于腔室容积120之下。腔室容积120可专属用于基板处理,且腔室容积120可透过开口121而仅对负载锁定腔室400的一侧开启,因为腔室容积120总是维持在真空之下。
腔室容积430可包括基板支撑隔板454,基板支撑隔板454设置在冷却基板支撑组件152之上并且被配置来支撑基板支撑隔板454上的基板104。腔室容积430可用于固持基板支撑隔板454上的一基板104,且用于固持及/或冷却在冷却基板支撑组件152上的另一基板104。在一实施例中,基板支撑隔板454可专属用于进来的基板,且冷却基板支撑组件152用于出去的基板,所以实质上消除了进来与出去的基板之间的直接污染的可能性。替代地,腔室容积430可用于同时转移两个基板。
在一实施例中,基板支撑隔板454可移动地设置在冷却基板支撑组件152之上,以使基板可以交换。如同图4所示,基板支撑隔板454可包括从环452延伸的一或更多个柱453。柱453被配置来提供支撑给基板104。环452可耦接于升举组件450,以在腔室容积430中垂直地移动一或更多个柱453。在一实施例中,升举组件450亦可耦接于连接至升举销149的环451,以从冷却基板支撑组件152升举基板或降低基板至冷却基板支撑组件152。在一实施例中,升举组件450可被配置来同时移动基板支撑隔板454与升举销149。当升举销149升举来获取设置于冷却基板支撑组件152上的基板104时,基板支撑隔板454亦向上移动,以确保升举销149上的基板104与基板支撑隔板454之间的足够间距,来用于装载或卸下。
图5A是图4的负载锁定腔室400的示意剖面视图,显示了升举组件450,且图5B是升举组件450的示意透视图。升举组件450可包括马达502,马达502耦接于轴504并且被配置来旋转轴504。轴504可具有螺纹部506与508,用于分别驱动基板支撑隔板454与升举销149。螺纹构件510耦接于螺纹部506,使得轴504的旋转将螺纹构件510沿着轴504移动。轴512可固定地耦接于螺纹构件510与环452之间,以将螺纹构件510的垂直移动转移给环452与柱453。相似地,螺纹构件514耦接于螺纹部508,使得轴504的旋转将螺纹构件514沿着轴504移动。轴516可固定地耦接于螺纹构件514与环451之间,以将螺纹构件514的垂直移动转移给环451与升举销149。在一实施例中,轴512、516可如同图5A所示地共中心设置。替代地,轴512、516可彼此分开来设置。
在一实施例中,螺纹部506与508可具有不同的螺距,使得当轴504被马达502旋转时,螺纹构件510、514以不同的速度(以及因此不同的距离)移动。在一实施例中,螺纹部506与508的螺距可设定成使得升举销149移动得比基板支撑隔板454还快,因此,基板支撑隔板454比升举销149具有较小范围的移动。通过以尽可能短的距离来移动基板支撑隔板454与升举销149,可以最小化腔室容积430的高度,藉此减少泵抽取时间与需求。在一实施例中,升举销149移动得比基板支撑隔板454快大约两倍。
负载锁定腔室400可提供专属用于处理基板的腔室容积120(亦即,没有到周遭环境的直接路径),同时提供冷却与不同路径给进来与出去的基板,以减少交互污染。因此,负载锁定腔室400可用于增加产量、减少污染、且减少热基板上的非所欲反应。
根据本发明的实施例的负载锁定腔室可成对使用,来双倍化产量。图6是根据本发明的一实施例的双负载锁定腔室600结构的示意剖面视图。双负载锁定腔室600包括相邻地设置在单一腔室主体组件610中的两个负载锁定腔室100A、100B。如同图6所示,两个负载锁定腔室100A、100B可为彼此的镜像。负载锁定腔室100A、100B可彼此独立地或同步地操作。
负载锁定腔室100A、100B类似于图1的负载锁定腔室100。负载锁定腔室100A包括腔室容积120A、130A、140A,且负载锁定腔室100B包括腔室容积120B、130B、140B。负载锁定腔室100A、100B可共享用于处理腔室容积120A、120B中的基板的气体源101、102。每一腔室容积120A、120B可透过控制阀604A、604B来耦接于真空泵602A、602B。真空泵602A、602B被配置来维持腔室容积120A、120B中的真空环境。腔室容积130A、140A、130B、140B作用为用于基板交换的负载锁定容积。在一实施例中,腔室容积130A、140A、130B、140B可共享一真空泵606。控制阀608A、610A、608B、610B可耦接于真空泵606与腔室容积130A、140A、130B、140B之间,以促成独立控制。
根据本发明的实施例的负载锁定腔室可用于提供基板处理系统与群集工具中的工厂界面之间的界面。图7是根据本发明的一实施例的群集工具系统700的平面视图,群集工具系统700包括负载锁定腔室。群集工具系统700包括根据本发明的实施例的一或更多个负载锁定腔室。图7的群集工具系统700显示为并入该双负载锁定腔室600。但是,应注意,亦可利用负载锁定腔室100、300与400。
群集工具系统700包括耦接于真空基板转移室708的系统控制器744、数个处理室712与双负载锁定腔室600。在一实施例中,转移室708可具有多个侧面,且每一侧面被配置来连接于双处理室712或双负载锁定腔室600。如同图7所示,三个双处理室712耦接于转移室708。双负载锁定腔室600耦接于转移室708。工厂界面704通过双负载锁定腔室600的负载锁定腔室100A、100B而选择性耦接至转移室708。
工厂界面704可包括至少一机座702与至少一工厂界面机器人706,以促进基板的转移。双负载锁定腔室600的每一负载锁定腔室100A、100B具有耦接至工厂界面704的两个端口以及耦接至转移室708的三个端口。负载锁定腔室100A、100B耦接至压力控制系统(未示),压力控制系统抽低且减压负载锁定腔室100A、100B中的腔室容积,以促进在转移室708的真空环境与工厂界面704的实质上周遭环境(例如大气)之间的基板交换。
转移室708具有设置于转移室708中的真空机器人710,用于在负载锁定腔室100A、100B与处理室712之间转移基板。在一实施例中,真空机器人710具有两个叶片并且能够在负载锁定腔室100A、100B与处理室712之间同时转移两个基板。
在一实施例中,至少一个处理室712是蚀刻腔室。例如,蚀刻腔室可为可从应用材料公司(Applied Materials,Inc)取得的去耦等离子体源(DPS,Decoupled PlasmaSource)腔室。DPS蚀刻腔室使用感应源来产生高密度等离子体,且DPS蚀刻腔室包含射频(RF)电源来偏压基板。替代地,至少一个处理室712可为亦可从应用材料公司取得的HARTTMDPS II、PRODUCER E或蚀刻腔室的一者。可利用其他蚀刻腔室,包括来自其他制造商的那些。蚀刻腔室可使用含有卤素的气体来蚀刻蚀刻腔室中的基板924。含有卤素的气体的范例包括溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)、等等。在蚀刻基板924之后,含有卤素的残余物会留在基板表面上。
含有卤素的残余物可在负载锁定腔室100A、100B的至少一者中通过热除污处理来移除。例如,热处理工艺可被执行于负载锁定腔室100A、100B的腔室容积120A、120B的一者或两者中。替代地或除了除污处理之外,灰化处理可被执行于负载锁定腔室100A、100B的腔室容积120A、120B的一者或两者中。
系统控制器744耦接至群集工具系统700。系统控制器744使用处理室712的直接控制或者替代地通过控制与处理室712及群集工具系统700相关的电脑(或控制器)来控制群集工具系统700的操作。在操作中,系统控制器744可以促成来自个别腔室与系统控制器744的数据收集与反馈,以最佳化群集工具系统700的性能。系统控制器744通常包括中央处理单元(CPU)738、存储器740与支持电路742。
图8是流程图,说明根据本发明的一实施例的用于处理基板的方法800。方法800可实施于图7中的具有负载锁定腔室100A、100B(具有三个腔室容积)的群集工具系统700中。可理解到,方法800可实施于其他合适的处理系统,包括来自其他制造商的那些。
方法800开始于方框810,其中从工厂界面(例如图7中的工厂界面704)接收基板(具有一层设置在基板上)至耦接于工厂界面的负载锁定腔室的第一腔室容积中,例如负载锁定腔室100A或100B的腔室容积130A或130B。
在方框820中,包含基板的第一腔室容积可被抽低至真空位准,该真空位准等于耦接至负载锁定腔室的转移室的真空位准。基板之后从负载锁定腔室转移到转移室。在一实施例中,负载锁定腔室的第一腔室容积可专属用于仅提供路径给进来的基板。
在方框830中,基板被转移到耦接于转移室的一或多个处理室,以进行一或多个处理。该等处理可包括使用含有卤素的气体来蚀刻在图案化遮罩之下的基板上的一或多个膜(例如聚合物膜)。图案化遮罩可包括光阻及/或硬遮罩。含有卤素的气体的合适范例包括但不限于溴化氢(HBr)、氯气(Cl2)、四氟化碳(CF4)、等等。蚀刻处理会留下含有卤素的残余物在基板上。
任选地,在处理室中被处理之前,基板可透过转移室而从负载锁定腔室的第一腔室容积转移到负载锁定腔室的第二腔室容积,以进行预热。例如,基板可从腔室容积130转移到腔室容积120,以在加热基板支撑125上预热。在一实施例中,基板可预热至大约摄氏20度与大约摄氏400度之间的温度。
在方框840中,在连接于转移室的一或更多个处理室中被处理之后,基板转移到负载锁定腔室的第二腔室容积。第二腔室容积(例如负载锁定腔室100的腔室容积120)可专属用于基板处理。取决于处理方法,负载锁定腔室的第二腔室容积可配置给不同的处理。
在方框850中,热处理工艺可实施在基板上,以在曝露至工厂界面或其他位置的大气状态之前,从基板移除在方框830的处理期间所产生的含有卤素的残余物。例如,基板可转移到负载锁定腔室100的腔室容积120,以移除含有卤素的残余物。
在一实施例中,可实施热处理至在负载锁定腔室的第二腔室容积中的已蚀刻基板,以移除含有卤素的残余物。例如,基板可设置于负载锁定腔室100的腔室容积120的加热基板支撑组件125上。加热基板支撑组件125在大约5秒与大约30秒之间将基板加热到大约摄氏20度与大约摄氏1000度之间的温度,例如大约摄氏150度与大约摄氏300度之间,例如大约摄氏250度。加热基板支撑组件125对基板的快速加热允许已蚀刻基板上的含有卤素的残余物被移除,而不会增加处理循环时间,如果残余物的移除是在一个处理室中,则可能发生处理循环时间的增加。在一实施例中,加热基板支撑组件125可在预定时间周期对基板加热,直到含有卤素的残余物从已蚀刻基板上移除。
在另一实施例中,混合气体的等离子体可用于促进含有卤素的残余物被转换成非腐蚀的挥发性化合物,藉此增加从已蚀刻基板表面移除含有卤素的残余物的效率。混合气体可包括含有氧的气体(例如O2、O3、水蒸气(H2O))、含有氢的气体(例如H2、合成气体(forming gas)、水蒸气(H2O)、烷、烯、等等)、或者惰性气体(例如氮气(N2)、氩(Ar)、氦(He)、等等)。例如,混合气体可包括氧气、氮气与含有氢的气体。在一实施例中,含有氢的气体是氢气(H2)与水蒸气(H2O)的至少一者。
在另一实施例中,在基板已经在群集工具系统中被蚀刻之后,热处理工艺可用灰化工艺的形式实施在负载锁定腔室的腔室容积中,以从基板移除遮罩层或光阻层。在灰化工艺的期间,氧气基的等离子体可被提供至负载锁定腔室的腔室容积中,同时基板的温度可维持在摄氏15至300度。可使用各种氧化气体,包括但不限于O2、O3、N2O、H2O、CO、CO2、醇、与这些气体的各种组合。在本发明的其他实施例中,可使用非氧化气体,包括但不限于N2、H2O、H2、合成气体(forming gas)、NH3、CH4、C2H6、各种卤化气体(CF4、NF3、C2F6、C4F8、CH3F、CH2F2、CHF3)、这些气体的组合、等等。在另一实施例中,在方框850,遮罩及/或光阻层可同时剥除。
在方框860中,基板可透过转移室而从负载锁定腔室的第二腔室容积转移到负载锁定腔室的第三腔室容积。负载锁定腔室的第三腔室容积可专属用于提供路径给出去的基板。第三腔室容积可为负载锁定腔室100的腔室容积140。
在方框870中,基板在负载锁定腔室的第三腔室容积中冷却。基板可降低至冷却基板支撑组件,例如负载锁定腔室100的冷却基板支撑组件152,以被冷却。
在方框880中,第三腔室容积被减压至大气压力,且已冷却基板回送至工厂界面。因为基板在曝露至大气之前被冷却,可以减少非所欲的反应,例如硅的氧化。
图9是流程图,说明根据本发明的另一实施例的用于处理基板的方法900。方法900类似于方法800,除了方法900是实施于具有负载锁定腔室(具有两个腔室容积)的群集工具中,例如上述的负载锁定腔室300、400。
在方框910中,从工厂界面(例如图7中的工厂界面704)转移基板(具有一层设置在基板上)到耦接至工厂界面的负载锁定腔室的第一腔室容积中。在一实施例中,当使用负载锁定腔室300时,基板可转移至腔室容积140,使得腔室容积120可专属用于处理基板。在另一实施例中,当使用负载锁定腔室400时,基板可转移至腔室容积430的基板支撑隔板454。
在方框920中,包含基板的第一腔室容积可被抽低至真空位准,该真空位准等于耦接至负载锁定腔室的转移室的真空位准。基板之后从负载锁定腔室转移到转移室。
在方框930中,类似于方法800的方框830,基板被转移到耦接于转移室的一或更多个处理室,以进行一或更多个处理。该等处理可包括使用含有卤素的气体来蚀刻在图案化遮罩之下的基板上的一或更多个膜(例如聚合物膜)。
在方框940中,在连接于转移室的一或更多个处理室中被处理之后,基板转移到负载锁定腔室的第二腔室容积,以移除残余物及/或硬遮罩或光阻。第二腔室容积(例如负载锁定腔室300或负载锁定腔室400的腔室容积120)可专属用于基板处理。取决于处理方法,负载锁定腔室的第二腔室容积可被配置给不同的处理。类似于在方框850所述的处理,剥除处理、灰化处理、或剥除与灰化处理两者可实施至基板,以移除含有卤素的残余物、硬遮罩、及光阻的任何所欲组合。
在方框950中,基板可透过转移室而从负载锁定腔室的第二腔室容积转移回到负载锁定腔室的腔室容积,以被冷却。
在方框960中,基板在负载锁定腔室的第一腔室容积中冷却。基板可降低至冷却基板支撑组件,例如负载锁定腔室300或400的冷却基板支撑组件152,以被冷却。
在方框970中,第一腔室容积被减压至大气压力,且已冷却基板回送至工厂界面。
虽然前述是关于本发明的实施例,本发明的其他与进一步实施例可被设想出而不偏离本发明基本范围,且本发明范围是由下面的权利要求书来决定。

Claims (13)

1.一种负载锁定腔室,包括:
腔室主体组件,所述腔室主体组件界定了彼此流体隔离的第一腔室容积与第二腔室容积,其中所述第一腔室容积透过两个开口而选择性地可连接至两个环境,所述两个开口被配置来用于基板转移,且所述第二腔室容积透过第二组两个开口而选择性地可连接至所述两个环境,所述第二组两个开口被配置来用于基板转移;
冷却基板支撑组件,所述冷却基板支撑组件设置在所述第一腔室容积中并且被配置来支撑且冷却所述冷却基板支撑组件上的基板;
加热基板支撑组件,所述加热基板支撑组件设置在所述第二腔室容积中并且被配置来支撑所述加热基板支撑组件上的基板;及
气体分配组件,所述气体分配组件设置在所述第二腔室容积中并且被配置来提供处理气体到所述第二腔室容积,以用于处理设置在所述第二腔室容积中的所述基板。
2.如权利要求1所述的负载锁定腔室,进一步包括升举销组件,所述升举销组件可相对于所述冷却基板支撑组件而移动,其中所述升举销组件被配置来在所述冷却基板支撑组件与外部基板处理装置之间转移基板。
3.如权利要求2所述的负载锁定腔室,其特征在于,所述腔室主体组件另外界定了第三腔室容积,所述第三腔室容积透过两个开口而选择性地可连接至所述两个环境,且所述第三腔室容积垂直堆迭于所述第一腔室容积与所述第二腔室容积之间。
4.如权利要求3所述的负载锁定腔室,进一步包括基板支撑组件,所述基板支撑组件设置在所述第三腔室容积中。
5.如权利要求2所述的负载锁定腔室,进一步包括基板支撑隔板,所述基板支撑隔板可移动地设置在所述第一腔室容积中的所述冷却基板支撑组件之上。
6.如权利要求5所述的负载锁定腔室,进一步包括升举组件,所述升举组件被配置来同时移动所述升举销组件与所述基板支撑隔板。
7.如权利要求6所述的负载锁定腔室,其特征在于,所述升举组件包括:
轴,所述轴适于被马达旋转;
第一螺纹构件,所述第一螺纹构件耦接于所述轴与所述升举销组件之间;及
第二螺纹构件,所述第二螺纹构件耦接于所述轴与所述基板支撑隔板之间,其中所述轴的旋转使所述第一螺纹构件与所述第二螺纹构件垂直移动。
8.如权利要求7所述的负载锁定腔室,其特征在于,所述升举组件以不同的速度来移动所述基板支撑隔板与所述升举销组件。
9.如权利要求7所述的负载锁定腔室,其特征在于,所述基板支撑隔板包括:
环;及
柱,所述柱附接至所述环,其中所述柱耦接至所述第二螺纹构件。
10.一种双负载锁定腔室,包括:
第一负载锁定腔室与第二负载锁定腔室,相邻地设置在单一腔室主体组件中,其中所述第一负载锁定腔室与所述第二负载锁定腔室的每一者包括:
彼此流体隔离的第一腔室容积与第二腔室容积,其中所述第一腔室容积透过两个开口而选择性地可连接至两个环境,所述两个开口被配置来用于基板转移,且所述第二腔室容积透过第二组两个开口而选择性地可连接至所述两个环境,所述第二组两个开口被配置来用于基板转移;
冷却基板支撑组件,所述冷却基板支撑组件设置在所述第一腔室容积中并且被配置来支撑且冷却所述冷却基板支撑组件上的基板;
加热基板支撑组件,所述加热基板支撑组件设置在所述第二腔室容积中并且被配置来支撑所述加热基板支撑组件上的基板;及
气体分配组件,所述气体分配组件设置在所述第二腔室容积中并且被配置来提供处理气体到所述第二腔室容积,以用于处理设置在所述第二腔室容积中的所述基板。
11.如权利要求10所述的双负载锁定腔室,其特征在于,所述第一负载锁定腔室与所述第二负载锁定腔室的每一者具有第三腔室容积,所述第三腔室容积透过两个开口而选择性地可连接至所述两个环境,且所述第三腔室容积垂直堆迭于所述第一腔室容积与所述第二腔室容积之间。
12.如权利要求10所述的双负载锁定腔室,其特征在于,所述第一负载锁定腔室与所述第二负载锁定腔室的每一者进一步包括基板支撑隔板,所述基板支撑隔板可移动地设置在所述第一腔室容积中的所述冷却基板支撑组件之上。
13.如权利要求11所述的双负载锁定腔室,进一步包括真空泵,所述真空泵耦接至所述第一负载锁定腔室与所述第二负载锁定腔室的所述第二腔室容积与所述第三腔室容积。
CN201380010918.8A 2012-02-29 2013-01-18 配置中的除污及剥除处理腔室 Active CN104137248B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710107319.8A CN106847737B (zh) 2012-02-29 2013-01-18 配置中的除污及剥除处理腔室

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261604990P 2012-02-29 2012-02-29
US61/604,990 2012-02-29
PCT/US2013/022228 WO2013130191A1 (en) 2012-02-29 2013-01-18 Abatement and strip process chamber in a load lock configuration

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710107319.8A Division CN106847737B (zh) 2012-02-29 2013-01-18 配置中的除污及剥除处理腔室

Publications (2)

Publication Number Publication Date
CN104137248A CN104137248A (zh) 2014-11-05
CN104137248B true CN104137248B (zh) 2017-03-22

Family

ID=49003318

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201380010918.8A Active CN104137248B (zh) 2012-02-29 2013-01-18 配置中的除污及剥除处理腔室
CN201710107319.8A Active CN106847737B (zh) 2012-02-29 2013-01-18 配置中的除污及剥除处理腔室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710107319.8A Active CN106847737B (zh) 2012-02-29 2013-01-18 配置中的除污及剥除处理腔室

Country Status (6)

Country Link
US (4) US10566205B2 (zh)
JP (2) JP6545460B2 (zh)
KR (1) KR102068186B1 (zh)
CN (2) CN104137248B (zh)
TW (1) TWI564954B (zh)
WO (1) WO2013130191A1 (zh)

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
TWI555058B (zh) * 2011-03-01 2016-10-21 應用材料股份有限公司 雙負載閘配置之減弱及剝離處理腔室
EP2815426B1 (de) * 2012-02-16 2020-10-07 (CNBM) Bengbu Design & Research Institute for Glass Industry Co., Ltd. Prozessbox, anordnungen und verfahren zum prozessieren beschichteter substrate
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
TWM476362U (en) * 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN105051861B (zh) * 2013-03-15 2017-11-14 应用材料公司 适合于在电子器件制造中处理基板的处理系统、设备及方法
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106298583B (zh) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 处理腔、处理腔和真空锁组合以及基片处理系统
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
CN106373907B (zh) * 2015-07-22 2019-01-08 中微半导体设备(上海)有限公司 一种真空锁系统及其对基片的处理方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
DE112016005136T5 (de) * 2015-11-09 2018-07-26 Applied Materials, Inc. Unterseitenverarbeitung
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102385717B1 (ko) * 2016-06-15 2022-04-12 에바텍 아크티엔게젤샤프트 진공 처리 챔버 및 진공 처리된 플레이트형 기판의 제조방법
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN108470704B (zh) * 2017-02-23 2021-01-29 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
WO2019094481A1 (en) * 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) * 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
TW202126856A (zh) * 2019-09-22 2021-07-16 美商應用材料股份有限公司 多晶圓體積單移送腔室刻面
JP7418301B2 (ja) * 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
JP7543059B2 (ja) 2020-09-30 2024-09-02 芝浦メカトロニクス株式会社 プラズマ処理装置、およびプラズマ処理方法
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法
US11955355B2 (en) * 2021-02-25 2024-04-09 Applied Materials, Inc. Isolated volume seals and method of forming an isolated volume within a processing chamber
KR102590738B1 (ko) * 2021-10-19 2023-10-18 주식회사 한화 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统
CN117116728B (zh) * 2023-10-20 2023-12-19 江苏邑文微电子科技有限公司 刻蚀设备
CN117253773B (zh) * 2023-11-10 2024-01-23 雅安宇焜芯材材料科技有限公司 一种用于半导体制造的加热制备系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
CN101145506A (zh) * 2003-10-20 2008-03-19 应用材料股份有限公司 用于大面积基板处理系统的装载锁定室
TW201118200A (en) * 2009-08-27 2011-06-01 Applied Materials Inc Method of decontamination of process chamber after in-situ chamber clean
CN102243989A (zh) * 2006-10-26 2011-11-16 应用材料股份有限公司 通过热处理从所蚀刻的衬底去除卤素残余物的集成方法

Family Cites Families (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5895549A (en) 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
KR100280772B1 (ko) 1994-08-31 2001-02-01 히가시 데쓰로 처리장치
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US20020104206A1 (en) 1996-03-08 2002-08-08 Mitsuhiro Hirano Substrate processing apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) * 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
JPH11330199A (ja) * 1998-05-18 1999-11-30 Sony Corp 真空プロセス装置
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
ATE533178T1 (de) 1998-09-09 2011-11-15 Texas Instruments Inc Integrierter schaltkreis mit kondensator und diesbezügliches herstellungsverfahren
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6259334B1 (en) 1998-12-22 2001-07-10 Lam Research Corporation Methods for controlling an RF matching network
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
EP1134303B1 (en) 2000-03-13 2010-06-09 Canon Kabushiki Kaisha Thin film production process
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) * 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
JP2002158273A (ja) 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282462A (ja) * 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
FR2847376B1 (fr) 2002-11-19 2005-02-04 France Telecom Procede de traitement de donnees sonores et dispositif d'acquisition sonore mettant en oeuvre ce procede
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP2004241420A (ja) 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2004319540A (ja) 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
US7045014B2 (en) 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7575220B2 (en) 2004-06-14 2009-08-18 Applied Materials, Inc. Curved slit valve door
KR101123624B1 (ko) * 2004-07-15 2012-03-20 주성엔지니어링(주) 반도체 제조 장치
EP1855794B1 (en) 2005-02-22 2012-10-31 Xactix, Inc. Etching chamber with subchamber
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4535499B2 (ja) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
JP4804824B2 (ja) 2005-07-27 2011-11-02 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
JP5349341B2 (ja) 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法
JP4927623B2 (ja) 2007-03-30 2012-05-09 東京エレクトロン株式会社 ロードロック装置の昇圧方法
US8272825B2 (en) 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US20090236214A1 (en) 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010026772A1 (ja) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 基板冷却方法及び半導体の製造方法
WO2010042410A2 (en) 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
TW201101414A (en) 2009-04-24 2011-01-01 Applied Materials Inc Substrate support having side gas outlets and methods
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
JP5545803B2 (ja) * 2009-06-30 2014-07-09 太平洋セメント株式会社 セラミックス多孔質焼結体の製造方法
KR101071344B1 (ko) * 2009-07-22 2011-10-07 세메스 주식회사 기판 처리 장치 및 방법
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
DE102009036180A1 (de) 2009-08-09 2011-02-10 Cfso Gmbh Photokatalysatorsystem für die Erzeugung von Strom
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
KR101147658B1 (ko) * 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법
JP2011174108A (ja) * 2010-02-23 2011-09-08 Tokyo Electron Ltd 冷却装置及びその冷却装置を備えた基板処理装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
KR101924488B1 (ko) 2010-05-12 2018-12-03 어플라이드 머티어리얼스, 인코포레이티드 프로세스 공간이 한정된 pecvd 챔버
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
WO2012118606A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Thin heated substrate support
TWI555058B (zh) * 2011-03-01 2016-10-21 應用材料股份有限公司 雙負載閘配置之減弱及剝離處理腔室
WO2012118886A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Vacuum chambers with shared pump
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
WO2014052228A1 (en) 2012-09-26 2014-04-03 Applied Materials, Inc. Bottom and side plasma tuning having closed loop control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
CN101145506A (zh) * 2003-10-20 2008-03-19 应用材料股份有限公司 用于大面积基板处理系统的装载锁定室
CN102243989A (zh) * 2006-10-26 2011-11-16 应用材料股份有限公司 通过热处理从所蚀刻的衬底去除卤素残余物的集成方法
TW201118200A (en) * 2009-08-27 2011-06-01 Applied Materials Inc Method of decontamination of process chamber after in-situ chamber clean

Also Published As

Publication number Publication date
US10566205B2 (en) 2020-02-18
US12094715B2 (en) 2024-09-17
CN106847737A (zh) 2017-06-13
US20230162984A1 (en) 2023-05-25
JP6545460B2 (ja) 2019-07-17
US20130224953A1 (en) 2013-08-29
TWI564954B (zh) 2017-01-01
JP2019110325A (ja) 2019-07-04
KR20150044421A (ko) 2015-04-24
US20200051825A1 (en) 2020-02-13
WO2013130191A1 (en) 2013-09-06
TW201344786A (zh) 2013-11-01
US20200144067A1 (en) 2020-05-07
CN106847737B (zh) 2020-11-13
KR102068186B1 (ko) 2020-02-11
US10943788B2 (en) 2021-03-09
CN104137248A (zh) 2014-11-05
JP2015515742A (ja) 2015-05-28

Similar Documents

Publication Publication Date Title
CN104137248B (zh) 配置中的除污及剥除处理腔室
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
KR101955829B1 (ko) 부착물의 제거 방법 및 드라이 에칭 방법
US10790138B2 (en) Method and system for selectively forming film
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
WO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
TWI558839B (zh) A substrate processing apparatus, a manufacturing method and a program for a semiconductor device
TWI588297B (zh) Attachment removal method and dry etching method
WO2017022086A1 (ja) 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体
TW202046024A (zh) 用於對準遮罩和基板的方法
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
US20240096641A1 (en) In-situ carbon liner for high aspect ratio features
US20220108872A1 (en) Bevel backside deposition elimination

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant