No abstract available.
Tutorials
Invited Talks
BIST-Assisted Tuning Scheme for Minimizing IO-Channel Power of TSV-Based 3D DRAMs
- Yun-Chao Yu,
- Chi-Chun Yang,
- Jin-Fu Li,
- Chih-Yen Lo,
- Chao-Hsun Chen,
- Jenn-Shiang Lai,
- Ding-Ming Kwai,
- Yung-Fa Chou,
- Cheng-Wen Wu
Three-dimensional dynamic random access memory (3D DRAM) using through-silicon via (TSV) has been acknowledged as one good approach for overcoming the memory wall. However, the IO-channel power of a TSV-based 3D DRAM represents a significant portion of ...
Dual-Speed TAM Optimization of 3D SoCs for Mid-bond and Post-bond Testing
The rapid growth in CMOS technology enables the technology of three-dimensional (3D) SoCs to be a promising approach for extending Moore's Law. Although the benefits supplied by 3D integration, managing test architecture design and reducing test cost ...
Optimized Pre-bond Test Methodology for Silicon Interposer Testing
- Katherine Shu-Min Li,
- Sying-Jyan Wang,
- Jia-Lin Wu,
- Cheng-You Ho,
- Yingchieh Ho,
- Ruei-Ting Gu,
- Bo-Chuan Cheng
Pre-bond testing of silicon interposer is difficult due to the large number of nets to be tested and small number of test access ports. Recently, it was proposed to include a test interposer that is contacted with the interposer under test in the ...
Design of a Radiation Hardened Latch for Low-Power Circuits
As technology node entered the era of nanotechnology, a latch is much more susceptible to soft errors caused by energetic particles in space radiation environment. In order to enhance the Single Event Upset (SEU) -tolerance capability of a latch, this ...
Optimal Redundancy Designs for CNFET-Based Circuits
Substantial imperfections in carbon nanotube (CNT) field-effect transistors (CNFETs) are one key obstacle to the demonstration of large-scale CNFET circuits. In this paper, we first categorize transistors based on the impact of resizing on yield ...
A Heuristically Mechanical Model for Accurate and Fast Soft Error Analysis
Characterizing the soft error impacts is significant for a good trade off between design cost (e.g. Area and power) and reliability. In this paper, a heuristically mechanical model is proposed to quantify the soft error metric Architectural ...
Error Resilient Real-Time State Variable Systems for Signal Processing and Control
The advent of sensor networks, robots, autonomous vehicles and the smart grid have made the dependability of circuits and systems that control them critical to society and national defense. While significant advances in the design of linear and ...
Variability and Soft-Error Resilience in Dependable VLSI Platform
Extreme scaling imposes enormous challenges, such as variability increase and soft-error vulnerability, on the resilience of VLSI circuits and systems. For coping with those threats, we have been developing a VLSI platform that can realize a dependable ...
Adaptive Mitigation of Parameter Variations
In the deep nanoscale regime, process and runtime variations have emerged as the major sources of uncertainty and unpredictability in circuit operation. Static mitigation approaches do not consider the dependence of variations on workload and chip usage,...
Reliability-Driven Pipelined Scan-Like Testing of Digital Microfluidic Biochips
A digital micro fluidic biochip (DMFB) is an attractive platform for immunoassays, point-of-care clinical diagnostics, DNA sequencing, and other laboratory procedures in biochemistry. Effective testing methods are required to ensure robust DMFB ...
A Cost-Effective Stimulus Generator for Battery Channel Characterization in Electric Vehicles
The battery management system (BMS) is substantial in diagnosing and controlling the battery modules of emerging electric vehicles (EVs). This paper presents a cost-effective stimulus generator for characterizing the communication channels between the ...
Generator for Test Set Construction of SMGF in Reversible Circuit by Boolean Difference Method
Reversible logic synthesis has received considerable attention in the light of advances recently made in quantum computation. Implementation of a reversible circuit is envisaged by deploying several special types of quantum gates, such as k-CNOT. ...
High-Speed Serial Embedded Deterministic Test for System-on-Chip Designs
- Maciej Trawka,
- Grzegorz Mrugalski,
- Nilanjan Mukherjee,
- Artur Pogiel,
- Janusz Rajski,
- Jakub Janicki,
- Jerzy Tyszer
The paper presents a high-speed serial interface between external tester and Embedded Deterministic Test (EDT) compression logic hosted by SoC designs. With only a single bidirectional link, the system is capable of feeding distributed heterogeneous ...
A Scalable and Parallel Test Access Strategy for NoC-Based Multicore System
This paper proposes a new parallel test access strategy for multiple identical cores in a network-on-chip (NoC). The proposed test strategy takes advantage of the regular design of NoC to reduce both test area overhead and test time. The proposed NoC ...
On Covering Structural Defects in NoCs by Functional Tests
- Atefe Dalirsani,
- Nadereh Hatami,
- Michael E. Imhof,
- Marcus Eggenberger,
- Gert Schley,
- Martin Radetzki,
- Hans-Joachim Wunderlich
Structural tests provide high defect coverage by considering the low-level circuit details. Functional test provides a faster test with reduced test patterns and does not imply additional hardware overhead. However, it lacks a quantitative measure of ...
Design, Verification, and Application of IEEE 1687
IEEE 1687 (IJTAG) has been developed to enable flexible and automated access to the increasing number of embedded instruments in today's integrated circuits. These instruments enable efficient post-silicon validation, debugging, wafer sort, package test,...
Silicon Evaluation of Cell-Aware ATPG Tests and Small Delay Tests
This paper presents silicon results for two such proposed fault models: the cell aware fault model and the small delay defect fault model. The corresponding tests including cell-aware ATPG tests and Fast-than at-speed TDF tests are evaluated on an ...
On Supporting Sequential Constraints for On-Chip Generation of Post-silicon Validation Stimuli
Post-silicon validation plays a critical role in exposing design errors in early silicon prototypes. Its effectiveness is conditioned by in-system application of functionally-compliant stimuli for extensive periods of time. This is achieved by expanding ...
Predicting IC Defect Level Using Diagnosis
Predicting defect level (DL) using fault coverage is an extremely difficult task but if can be accomplished ensures high quality while controlling test cost. Because IC testing now involves generating and combining tests from multiple fault models, it ...
Testability-Driven Fault Sampling for Deterministic Test Coverage Estimation of Large Designs
The continuously increasing complexity and size of the modern high performance design often introduces the testability barriers which either restrict the desirable test quality, or require extended test generation time. To detect such testability ...
Methodology for Early RTL Testability and Coverage Analysis and Its Application to Industrial Designs
Testability analysis in the RTL design cycle of an IP or SoC is a critical need for designers to minimize design iterations and resources, and to enable faster design closure times. A mandatory requirement for any such technique is its scalability and ...