Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/3468044.3468047acmotherconferencesArticle/Chapter ViewAbstractPublication PagesheartConference Proceedingsconference-collections
research-article

Software-like Compilation for Data Center FPGA Accelerators

Published: 21 June 2021 Publication History

Abstract

Compilation times for large Xilinx devices, such as the Amazon F1 instance, are on the order of several hours. However, today's data center designs often have many identical processing units (PUs), meaning that conventional design flows waste time placing and routing the same problem many times. Furthermore, the connectivity infrastructure of a design tends to be finalized before the PUs, resulting in unnecessary recompilation of a large fraction of the design.
We present an open source flow where the connectivity infrastructure logic is implemented ahead of time and routed to many interface blocks that border available slots for PUs. As architects iterate on their PU designs, they only need to perform a single set of parallel, independent compile runs to implement and route the PU alongside each distinct interface block. Our RapidWright-based system stitches the implemented PU into the available slots in the connectivity logic, requiring no additional routing to finalize the design. Our system is able to generate working designs for Amazon F1, and reduces compilation time over the standard monolithic compilation flow by an order of magnitude for designs with up to 180 PUs. Our experiments also show that there is future potential for an additional 4X runtime improvement when relying on emerging open source place and route tools.

References

[1]
[n. d.]. nextpnr. https://github.com/daveshah1/nextpnr-xilinx.
[2]
AWS. [n. d.]. Amazon EC2 F1 Instances. https://aws.amazon.com/ec2/instance-types/f1/
[3]
C. Beckhoff, D.Koch, and J. Torresen. 2012. Go Ahead: A Partial Reconfiguration Framework. In FCCM'2012. 37--44. https://doi.org/10.1109/FCCM.2012.17
[4]
Alibaba Cloud. [n. d.]. Deep Dive into Alibaba Cloud F3 FPGA as a Service Instances. https://www.alibabacloud.com/blog/deep-dive-into-alibaba-cloud-f3-fpga-as-a-service-instances_594057
[5]
J. D. Hadley and Brad L. Hutchings. 1995. Designing a partially reconfigured system. In Field Programmable Gate Arrays (FPGAs) for Fast Board Development and Reconfigurable Computing, John Schewel (Ed.), Vol. 2607. International Society for Optics and Photonics, SPIE, 210 - 220. https://doi.org/10.1117/12.221341
[6]
John L. Hennessy and David A. Patterson. 2019. A New Golden Age for Computer Architecture. Commun. ACM 62, 2 (Jan. 2019), 48--60. https://doi.org/10.1145/3282307
[7]
Edson L. Horta, John W. Lockwood, David E. Taylor, and David Parlour. 2002. Dynamic Hardware Plugins in an FPGA with Partial Run-Time Reconfiguration. In DAC '02. Association for Computing Machinery, New York, NY, USA, 343--348. https://doi.org/10.1145/513918.514007
[8]
C. Lavin and A. Kaviani. 2018. RapidWright: Enabling Custom Crafted Implementations for FPGAs. In FCCM'2018. 133--140. https://doi.org/10.1109/FCCM.2018.00030
[9]
C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. Nelson, and B. Hutchings. 2011. HMFlow: Accelerating FPGA Compilation with Hard Macros for Rapid Prototyping. In FCCM'2011. 117--124. https://doi.org/10.1109/FCCM.2011.17
[10]
D. P. Montminy, R. O. Baldwin, P. D. Williams, and B. E. Mullins. 2007. Using Relocatable Bitstreams for Fault Tolerance. In AHS'2007. 701--708. https://doi.org/10.1109/AHS.2007.108
[11]
Pete Sedcole, Brandon Blodget, Tobias Becker, James Anderson, and Patrick Lysaght. 2006. Modular dynamic reconfiguration in Virtex FPGAs. IEE Proceedings-Computers and Digital Techniques 153, 3 (2006), 157--164.
[12]
J. Thomas, P. Hanrahan, and M. Zaharia. 2020. Fleet: A Framework for Massively Parallel Streaming on FPGAs. In ASPLOS'2020. ACM, New York, NY, USA.
[13]
Y. Xiao, D. Park, A. Butt, H. Giesen, Z. Han, R. Ding, N. Magnezi, R. Rubin, and A. DeHon. 2019. Reducing FPGA Compile Time with Separate Compilation for FPGA Building Blocks. In ICFPT'2019. 153--161. https://doi.org/10.1109/ICFPT47387.2019.00026

Cited By

View all
  • (2024)ExHiPR: Extended High-Level Partial Reconfiguration for Fast Incremental FPGA CompilationACM Transactions on Reconfigurable Technology and Systems10.1145/361783717:2(1-28)Online publication date: 13-Mar-2024
  • (2022)Fast and Flexible FPGA Development using Hierarchical Partial Reconfiguration2022 International Conference on Field-Programmable Technology (ICFPT)10.1109/ICFPT56656.2022.9974201(1-10)Online publication date: 5-Dec-2022
  • (2022)HiPR: High-level Partial Reconfiguration for Fast Incremental FPGA Compilation2022 32nd International Conference on Field-Programmable Logic and Applications (FPL)10.1109/FPL57034.2022.00022(70-78)Online publication date: Aug-2022
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Other conferences
HEART '21: Proceedings of the 11th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies
June 2021
76 pages
ISBN:9781450385497
DOI:10.1145/3468044
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

In-Cooperation

  • German Research Foundation: German Research Foundation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 21 June 2021

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Conference

HEART '21

Acceptance Rates

Overall Acceptance Rate 22 of 50 submissions, 44%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)26
  • Downloads (Last 6 weeks)4
Reflects downloads up to 30 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)ExHiPR: Extended High-Level Partial Reconfiguration for Fast Incremental FPGA CompilationACM Transactions on Reconfigurable Technology and Systems10.1145/361783717:2(1-28)Online publication date: 13-Mar-2024
  • (2022)Fast and Flexible FPGA Development using Hierarchical Partial Reconfiguration2022 International Conference on Field-Programmable Technology (ICFPT)10.1109/ICFPT56656.2022.9974201(1-10)Online publication date: 5-Dec-2022
  • (2022)HiPR: High-level Partial Reconfiguration for Fast Incremental FPGA Compilation2022 32nd International Conference on Field-Programmable Logic and Applications (FPL)10.1109/FPL57034.2022.00022(70-78)Online publication date: Aug-2022
  • (2021)RWRoute: An Open-source Timing-driven Router for Commercial FPGAsACM Transactions on Reconfigurable Technology and Systems10.1145/349123615:1(1-27)Online publication date: 29-Nov-2021

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media