Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2228360.2228441acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Algorithms and data structures for fast and good VLSI routing

Published: 03 June 2012 Publication History

Abstract

We present advanced data structures and algorithms for fast and high-quality global and detailed routing in modern technologies. Global routing is based on a combinatorial approximation scheme for min-max resource sharing. Detailed routing uses exact shortest path algorithms, based on a shape-based data structure for pin access and a two-level track-based data structure for long-distance connections. All algorithms are very fast. We demonstrate their superiority over traditional approaches by a comparison to an industrial router (on 32nm and 22nm chips). Our router is over two times faster, has 5% less netlength, 20% less vias, and reduces detours by more than 90%.

References

[1]
C. Alpert, Z. Li, M. Moffitt, G. Nam, J. Roy, and G. Tellez. What makes a design difficult to route. In Proc. ISPD, pages 7--12, 2010.
[2]
C. Chu and Y.-C. Wong. FLUTE: Fast lookup table based rectilinear steiner minimal tree algorithm for VLSI design. IEEE Trans. Computer-Aided Design Integr. Circuits Syst., 27:70--83, 2008.
[3]
A. Hetzel. A sequential detailed router for huge grid graphs. In Proc. DATE, pages 332--339, 1998.
[4]
J. Humpola. Schneller Algorithmus für kürzeste Wege in irregulären Gittergraphen. Diploma Thesis, University of Bonn, 2009.
[5]
B. Korte, D. Rautenbach, and J. Vygen. BonnTools: Mathematical innovation for layout and timing closure of systems on a chip. Proc. IEEE, 95:555--572, 2007.
[6]
J. Maßberg and T. Nieberg. Rectilinear paths with minimum segment lengths. Discrete Appl. Math., to appear.
[7]
D. Müller. Optimizing yield in global routing. In Proc. ICCAD, pages 480--486, 2006.
[8]
D. Müller. Fast Resource Sharing in VLSI Routing. PhD thesis, University of Bonn, 2009.
[9]
D. Müller, K. Radke, and J. Vygen. Faster min-max resource sharing in theory and practice. Math. Prog. Comp., 3:1--35, 2011.
[10]
T. Nieberg. Gridless pin access in detailed routing. In Proc. DAC, pages 170--175, 2011.
[11]
S. Peyer, D. Rautenbach, and J. Vygen. A generalization of dijkstra's shortest path algorithm with applications to VLSI routing. J. Discrete Algorithms, 7:377--390, 2009.
[12]
P. E. Hart and N. J. Nilsson and B. Raphael. A formal basis for the heuristic determination of minimum cost paths. IEEE Trans. Syst. Sci. Cybern., 2:100--107, 1968.
[13]
J. Salowe. Rip-up and reroute. In C. Alpert, D. Mehta, and S. Sapatnekar, editors, Handbook of Algorithms for Physical Design Automation, pages 615--626. CRC Press, 2009.
[14]
J. Vygen. Near-optimum global routing with coupling, delay bounds, and power consumption. In Proc. IPCO, pages 308--324, 2004.

Cited By

View all
  • (2023)Pathfinding Model and Lagrangian-Based Global Routing2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247969(1-6)Online publication date: 9-Jul-2023
  • (2018)A Multicommodity Flow-Based Detailed Router With Efficient Acceleration TechniquesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.269327037:1(217-230)Online publication date: Jan-2018
  • (2015)Rectilinear Steiner TreesOptimal Interconnection Trees in the Plane10.1007/978-3-319-13915-9_3(151-218)Online publication date: 2015
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '12: Proceedings of the 49th Annual Design Automation Conference
June 2012
1357 pages
ISBN:9781450311991
DOI:10.1145/2228360
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 03 June 2012

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. VLSI design
  2. detailed routing
  3. global routing
  4. routing optimization

Qualifiers

  • Research-article

Conference

DAC '12
Sponsor:
DAC '12: The 49th Annual Design Automation Conference 2012
June 3 - 7, 2012
California, San Francisco

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)10
  • Downloads (Last 6 weeks)1
Reflects downloads up to 13 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Pathfinding Model and Lagrangian-Based Global Routing2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247969(1-6)Online publication date: 9-Jul-2023
  • (2018)A Multicommodity Flow-Based Detailed Router With Efficient Acceleration TechniquesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2017.269327037:1(217-230)Online publication date: Jan-2018
  • (2015)Rectilinear Steiner TreesOptimal Interconnection Trees in the Plane10.1007/978-3-319-13915-9_3(151-218)Online publication date: 2015
  • (2014)MCFRouteProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691446(397-404)Online publication date: 3-Nov-2014
  • (2014)Techniques for scalable and effective routability evaluationACM Transactions on Design Automation of Electronic Systems10.1145/256666319:2(1-37)Online publication date: 28-Mar-2014
  • (2014)MCFRoute: A detailed router based on multi-commodity flow method2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2014.7001382(397-404)Online publication date: Nov-2014
  • (2013)RegularRouteIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2012.221449121:9(1655-1668)Online publication date: 1-Sep-2013
  • (2013)MANAIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2013.226587832:10(1557-1568)Online publication date: 1-Oct-2013
  • (2013)Rectilinear paths with minimum segment lengthsDiscrete Applied Mathematics10.1016/j.dam.2011.12.003161:12(1769-1775)Online publication date: 1-Aug-2013

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media