Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article
Open access

Endurance-aware cache line management for non-volatile caches

Published: 01 February 2014 Publication History

Abstract

Nonvolatile memories (NVMs) have the potential to replace low-level SRAM or eDRAM on-chip caches because NVMs save standby power and provide large cache capacity. However, limited write endurance is a common problem for NVM technologies, and today's cache management might result in unbalanced cache write traffic, causing heavily written cache blocks to fail much earlier than others. Although wear-leveling techniques for NVM-based main memories exist, we cannot simply apply them to NVM-based caches. This is because cache writes have intraset variations as well as interset variations, while writes to main memories only have interset variations.
To solve this problem, we propose i2WAP, a new cache management policy that can reduce both inter- and intraset write variations. i2WAP has two features: Swap-Shift, an enhancement based on existing main memory wear leveling to reduce cache interset write variations, and Probabilistic Set Line Flush, a novel technique to reduce cache intraset write variations. Implementing i2WAP only needs two global counters and two global registers. In one of our studies, i2WAP can improve the NVM cache lifetime by 75% on average and up to 224%. We also validate that i2WAP is effective in systems with different cache configurations and workloads.

References

[1]
A. Akel, A. M. Caulfield, T. I. Mollov, et al. 2011. Onyx: A protoype phase change memory storage array. In Proceedings of the USENIX Conference on Hot Topics in Storage and File Systems. 2--6.
[2]
C. Bienia, S. Kumar, J. P. Singh, and K. Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. 72--81.
[3]
N. Binkert, B. Beckmann, G. Black, et al. 2011. The gem5 simulator. SIGARCH Computer Architecture News 39, 2 (2011), 1--7.
[4]
L. Blum, M. Blum, and M. Shub. 1986. A simple unpredictable pseudo-random number generator. SIAM Journal on computing 15, 2 (1986), 364--383.
[5]
A. M. Caulfield, A. De, J. Coburn, et al. 2010. Moneta: A high-performance storage array architecture for next-generation, non-volatile memories. In Proceedings of the International Symposium on Microarchitecture. 385--395.
[6]
J. Chandarlapati and M. Chaudhuri. 2007. LEMap: Controlling leakage in large chip-multiprocessor caches via profile-guided virtual address translation. In Proceedings of International Conference on Computer Design. 423--430.
[7]
B. Chen, Y. Lu, B. Gao, et al. 2011. Physical mechanisms of endurance degradation in TMO-RRAM. In Proceedings of the International Electron Devices Meeting. 12.3.1--12.3.4.
[8]
J. Condit, E. B. Nightingale, C. Frost, et al. 2009. Better I/O through byte-addressable, persistent memory. In Proceedings of the ACM Symposium on Operating Systems Principles. 133--146.
[9]
X. Dong, C. Xu, Y. Xie, and N. P. Jouppi. 2012. NVSim: A circuit-level performance, energy, and area model for emerging non-volatile memory. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31, 0 (2012), 994--1007.
[10]
Y. Huai. 2008. Spin-transfer torque MRAM (STT-MRAM): Challenges and prospects. Association of Asia Pacific Physical Societies Bulletin 18, 6 (2008), 33.
[11]
E. Ipek, J. Condit, E. B. Nightingale, et al. 2010. Dynamically replicated memory: Building reliable systems from nanoscale resistive memories. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating System. 3--14.
[12]
ITRS. 2012. International Technology Roadmap for Semiconductors, Process Integration, Devices, and Structures 2012 Update. Retrieved from http://www.itrs.net/.
[13]
Y. Joo, D. Niu, X. Dong, et al. 2010. Energy- and endurance-aware design of phase change memory caches. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. 136--141.
[14]
C. H. Kim, J.-J. Kim, S. Mukhopadhyay, and K. Roy. 2003. A forward body-biased low-leakage SRAM cache: device and architecture considerations. In Proceedings of the International Symposium on Low Power Electronics and Design. 6--9.
[15]
H. Kim, J. H. Ahn, and J. Kim. 2013. Exploiting replicated cache blocks to reduce L2 cache leakage in CMPs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21, 10 (2013), 1863--1877.
[16]
K. Kim and S. J. Ahn. 2005. Reliability investigations for manufacturable high density PRAM. In Proceedings of the International Reliability Physics Symposium. 157--162.
[17]
Y.-B. Kim, S.R. Lee, D. Lee, et al. 2011. Bi-layered RRAM with unlimited endurance and extremely uniform switching. In Proceedings of the Symposium on VLSI Technology. 52--53.
[18]
S. Kirkpatrick. 1981. A very fast shift-register sequence random number generator. J. Comput. Phys. 40 (1981), 517--526.
[19]
D. Kroft. 1998. Lockup-free instruction fetch/prefetch cache organization. In Proceedings of the International Symposium on Computer Architecture. 195--201.
[20]
B. C. Lee, E. Ipek, O. Mutlu, and D. Burger. 2009. Architecting phase change memory as a scalable DRAM alternative. In Proceedings of the International Symposium on Computer Architecture. 2--13.
[21]
W. S. Lin, F. T. Chen, C. H. L. Chen, and M.-J. Tsai. 2010. Evidence and solution of over-RESET problem for HfOx based resistive memory with sub-ns switching speed and high endurance. In Proceedings of the International Electron Devices Meeting. 19.7.1--19.7.4.
[22]
Micron. 2011. 4Gb: x4, x8, x16 DDR3 SDRAM. (2011).
[23]
M. K. Qureshi, J. P. Karidis, M. Franceschini, et al. 2009a. Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. In Proceedings of the International Symposium on Microarchitecture. 14--23.
[24]
M. K. Qureshi, V. Srinivasan, and J. A. Rivers. 2009b. Scalable high performance main memory system using phase-change memory technology. In Proceedings of the International Symposium on Computer Architecture. 24--33.
[25]
S. Rixner, W. J. Dally, U. J. Kapasi, et al. 2000. Memory access scheduling. In Proceedings of the International Symposium on Computer Architecture. 128--138.
[26]
P. Rosenfeld, E. Cooper-Balis, and B. Jacob. 2011. DRAMSim2: A cycle accurate memory system simulator. Computer Architecture Letters 10, 1 (2011), 16--19.
[27]
S. Schechter, G. H. Loh, K. Straus, and D. Burger. 2010. Use ECP, not ECC, for hard failures in resistive memories. In Proceedings of the International Symposium on Computer Architecture. 141--152.
[28]
N. H. Seong, D. H. Woo, and H.-H. S. Lee. 2010a. Security refresh: prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping. In Proceedings of the International Symposium on Computer Architecture. 383--394.
[29]
N. H. Seong, D. H. Woo, V. Srinivasan, et al. 2010b. SAFER: Stuck-at-fault error recovery for memories. In Proceedings of the International Symposium on Microarchitecture. 115--124.
[30]
A. Seznec. 2010. A Phase change memory as a secure main memory. Computer Architecture Letters 9, 1 (2010), 5--8.
[31]
S.-S. Sheu, M.-F. Chang, K.-F. Lin, et al. 2011. A 4Mb embedded SLC resistive-RAM macro with 7.2ns read-write random-access time and 160ns MLC-access capability. In Proceedings of the International Solid-State Circuits Conference. 200--201.
[32]
J. M. Slaughter, N. D. Rizzo, F. B. Mancoff, et al. 2010. Toggle and spin-torque MRAM: Status and outlook. Journal of the Magnetic Society of Japan 5 (2010), 171.
[33]
SPEC CPU. 2006. SPEC CPU2006. (2006). http://www.spec.org/cpu2006/.
[34]
Y.-H. Tseng, C.-E. Huang, C.-H. Kuo, et al. 2009. High density and ultra small cell size of Contact ReRAM (CR-RAM) in 90nm CMOS logic technology and circuits. In Proceedings of the International Electron Devices Meeting. 1--4.
[35]
R. Waser and M. Aono. 2007. Nanoionics-based resistive switching memories. Nature Materials 6, 11 (2007), 833--840.
[36]
J. J. Yang, M. D. Pickett, X. Li, et al. 2008. Memristive switching mechanism for metal/oxide/metal nanodevices. Nature Nanotechnology 3, 7 (2008), 429--433.
[37]
J. J. Yang, M.-X. Zhang, J. P. Strachan, et al. 2010. High switching endurance in TaOx memristive devices. Applied Physics Letters 97, 23 (2010), 232102.
[38]
D. H. Yoon, N. Muralimanohar, J. Chang, et al. 2011. FREE-p: Protecting non-volatile memory against both hard and soft errors. In Proceedings of the International Symposium on High-Performance Computer Architecture. 466--477.
[39]
P. Zhou, B. Zhao, J. Yang, and Y. Zhang. 2009. A durable and energy efficient main memory using phase change memory technology. In Proceedings of the International Symposium on Computer Architecture. 14--23.

Cited By

View all
  • (2024)POEM: Performance Optimization and Endurance Management for Non-volatile CachesACM Transactions on Design Automation of Electronic Systems10.1145/3653452Online publication date: 27-Mar-2024
  • (2023)Mapi-Pro: An Energy Efficient Memory Mapping Technique for Intermittent ComputingACM Transactions on Architecture and Code Optimization10.1145/3629524Online publication date: 20-Oct-2023
  • (2022)A Survey on Memory-centric Computer ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/354497418:4(1-50)Online publication date: 25-Oct-2022
  • Show More Cited By

Index Terms

  1. Endurance-aware cache line management for non-volatile caches

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Architecture and Code Optimization
    ACM Transactions on Architecture and Code Optimization  Volume 11, Issue 1
    February 2014
    373 pages
    ISSN:1544-3566
    EISSN:1544-3973
    DOI:10.1145/2591460
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 February 2014
    Accepted: 01 October 2013
    Revised: 01 July 2013
    Received: 01 April 2013
    Published in TACO Volume 11, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Cache
    2. interset write variation
    3. intraset write variation
    4. lifetime improvement
    5. wear leveling
    6. write endurance

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)90
    • Downloads (Last 6 weeks)12
    Reflects downloads up to 14 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)POEM: Performance Optimization and Endurance Management for Non-volatile CachesACM Transactions on Design Automation of Electronic Systems10.1145/3653452Online publication date: 27-Mar-2024
    • (2023)Mapi-Pro: An Energy Efficient Memory Mapping Technique for Intermittent ComputingACM Transactions on Architecture and Code Optimization10.1145/3629524Online publication date: 20-Oct-2023
    • (2022)A Survey on Memory-centric Computer ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/354497418:4(1-50)Online publication date: 25-Oct-2022
    • (2022)An Energy-Efficient DRAM Cache Architecture for Mobile Platforms With PCM-Based Main MemoryACM Transactions on Embedded Computing Systems10.1145/345199521:1(1-22)Online publication date: 14-Jan-2022
    • (2022)Generative Adversarial Reward Learning for Generalized Behavior Tendency InferenceIEEE Transactions on Knowledge and Data Engineering10.1109/TKDE.2022.318692035:10(9878-9889)Online publication date: 3-Aug-2022
    • (2022)A review of deep learning-based recommender system in e-learning environmentsArtificial Intelligence Review10.1007/s10462-022-10135-255:8(5953-5980)Online publication date: 1-Dec-2022
    • (2020)A Classification of Memory-Centric ComputingACM Journal on Emerging Technologies in Computing Systems10.1145/336583716:2(1-26)Online publication date: 30-Jan-2020
    • (2020)Closing the Gap Between Cache-oblivious and Cache-adaptive AnalysisProceedings of the 32nd ACM Symposium on Parallelism in Algorithms and Architectures10.1145/3350755.3400274(63-73)Online publication date: 6-Jul-2020
    • (2020)The Power of Computation-in-Memory Based on Memristive DevicesProceedings of the 25th Asia and South Pacific Design Automation Conference10.1109/ASP-DAC47756.2020.9045162(385-392)Online publication date: 17-Jan-2020
    • (2019)A computation-in-memory accelerator based on resistive devicesProceedings of the International Symposium on Memory Systems10.1145/3357526.3357554(19-32)Online publication date: 30-Sep-2019
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Full Access

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media