Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1629911.1630039acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration

Published: 26 July 2009 Publication History

Abstract

With new technologies, temperature has become a major issue to be considered at system level design. Without taking temperature aspects into consideration, no approach to energy or/and performance optimization will be sufficiently accurate and efficient. In this paper we propose an on-line temperature aware dynamic voltage and frequency scaling (DVFS) technique which is able to exploit both static and dynamic slack. The approach implies an offline temperature aware optimization step and on-line voltage/frequency settings based on temperature sensor readings. Most importantly, the presented approach is aware of the frequency/temperature dependency, by which important additional energy savings are obtained.

References

[1]
http://ffmpeg.mplayerhq.hu/.
[2]
A. Andrei, P. Eles, Z. Peng, M. Schmitz, and B. M. Al-Hashimi., energy optimization of multiprocessor systems on chip by voltage selection. IEEE Transactions on Very Large Scale Integration Systems, 15((3)):pp. 262--275.
[3]
A. Andrei, M. Schmitz, P. Eles, Z. Peng, and B. Al-Hashimi. Quasi-static voltage scaling for energy minimization with time constraints. Design Automation and Test (DATE), April 2005.
[4]
H. Aydin, R. Melhem, D. Moss, and P. Alvarez. Dynamic and aggressive scheduling techniques for power-aware real-time systems. 22nd IEEE Real-Time Systems Symposium (RTSS'01), pages pp. 95--105, Dec. 2001.
[5]
M. Bao, A. Andrei, P. Eles, and Z. Peng. Temperature-aware voltage selection for energy optimization. Design Automation and Test (DATE), April 2008.
[6]
A. P. Chandrakasan and R. W. Brodersen. Low Power Digital CMOS Design. Norwell, MA: Kluwer, 1995.
[7]
R. Cobbold. Temperature effects on mos transistors. Electronic Letters, 2:pp. 190ĺC192, 1966.
[8]
A. Coskun, T. Rosing, and K. Whisnant. Temperature aware task scheduling in mpsocs. Design, Automation&Test in Europe Conference&Exhibition, 2007. DATE '07, (No. 7):pp. 1--6, April 2007.
[9]
K. Gross, K. Whisnant, and A. Urmanov. Electronic prognostics through continuous system telemetry. In 60th Meeting of the Society for Machine Failure Prevention Technology (MFPT), (1):pp. 53ĺC62, Apr. 2006.
[10]
S. Hsu and A. A. et al. A 4.5-ghz 130-nm 32-kb 10 cache with a leakage-tolerant self reverse-bias bitline scheme. IEEE JOURNAL of Solid-State Circuits, May 2003.
[11]
T. Ishihara and H. Yasuura. Voltage scheduling problem for dynamically variable voltage processors. Low Power Electronics and Design, 1998. Proceedings. 1998 International Symposium on, pages pp. 197--202, Aug. 1998.
[12]
H. Jung, P. Rong, and M. Pedram. Stochastic modeling of a thermally-managed multi-core system. Design Automation Conference, 2008, pages pp. 728--733, June 2008.
[13]
W. Kwon and T. Kim. Optimal voltage allocation techniques for dynamically variable voltage processors. ACM TECS, 4(1):pp. 211--230, 2005.
[14]
Y. Li, B. C. Lee, D. Brooks, Z. Hu, and K. Skadron. Cmp design space exploration subject to physical constraints. HPCA06, pages pp. 15--26, 2006.
[15]
W. P. Liao, L. He, and K. M. Lepak. Temperature and supply voltage aware performance and power modeling at micro-architecture level. IEEE TonCAD, 24(No. 7):pp. 1042ĺC1053, July 2005.
[16]
Y. Liu, H. Yang, R. Dick, H. Wang, and L. Shang. Thermal vs energy optimization for dvfs-enabled processors in embedded systems. Symp. on Quality Electronic Design (ISQED07), (International Symposium on Quality Electronic Design, 2007. ISQED '07. 8th):pp. 204--209, Mar. 2007.
[17]
A. Macii, E. Macii, and M. Poncino. Improving the efficiency of memory partitioning by address clustering. Design, Automation and Test in Europe Conference and Exhibition, 2003.
[18]
S. Martin, K. Flautner, T. Mudge, and D. Blaauw. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors. ICCAD, pages pp. 721--725, 2002.
[19]
S. Murali, A. Mutapcic, and D. A. et al. Temperature control of high-performance multi-core platforms using convex optimization. JOURNAL of VLSI Signal Processing Systems, (Design, Automation and Test in Europe, 2008. DATE '08):pp. 110--115, Mar. 2008.
[20]
B. Razavi. Design of Analog CMOS Integrated Circuits. McGraw-Hill Science Engineering, Erewhon, NC, August 2000.
[21]
K. Sankaranarayanan, S. Velusamy, and K. S. M. R. Stan. A casefor thermal-aware floorplanning at the microarchitectural level. the JOURNAL of Instruction-Level Parallelism, 7:pp. 1--16, Oct 2005.
[22]
M. Sasaki, M. Ikeda, and K. Asada. -1/+0.8°c error, accurate temperature sensor using 90nm 1v cmos for on-line thermal monitoring of vlsi circuits. Microelectronic Test Structures, 2006 IEEE International Conference, pages pp. 9--12, March 2006.
[23]
S. Wang and R. Bettatin. Delay analysis in temp.-constrained hard real-time systems with general task arrivals. RTSS06, pages pp. 323--334, 2006.
[24]
W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. Stan. Hotspot: A compact thermal modeling methodology for early-stage vlsi design. IEEE on VLSI Systems, 14(5):pp. 501--513, May 2006.
[25]
C. Xian, Y.-H. Lu, and Z. Li. Dynamic voltage scaling for multitasking real-time systems with uncertain execution time. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(8):pp. 1467--1478, Aug. 2008.
[26]
Y. Xie and W.-L. Hung. Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (mpsoc) design. JOURNAL of VLSI Signal Processing Systems, 45(3):pp. 177--189, Dec. 2006.
[27]
Y. Yang and Z. G. et al. Isac: Integrated space and time adaptive chip-package thermal analysis. IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Jan. 2007.

Cited By

View all
  • (2023)NeuroCool: Dynamic Thermal Management of 3D DRAM for Deep Neural Networks through Customized PrefetchingACM Transactions on Design Automation of Electronic Systems10.1145/363001229:1(1-35)Online publication date: 18-Dec-2023
  • (2023)Dynamic Thermal Management of 3D Memory through Rotating Low Power States and Partial Channel ClosureACM Transactions on Embedded Computing Systems10.1145/362458122:6(1-27)Online publication date: 9-Nov-2023
  • (2023)Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core ProcessorsIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2023.324476313:1(58-72)Online publication date: Mar-2023
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '09: Proceedings of the 46th Annual Design Automation Conference
July 2009
994 pages
ISBN:9781605584973
DOI:10.1145/1629911
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 26 July 2009

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. energy
  2. temperature dependency
  3. voltage/frequency scaling

Qualifiers

  • Research-article

Conference

DAC '09
Sponsor:
DAC '09: The 46th Annual Design Automation Conference 2009
July 26 - 31, 2009
California, San Francisco

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)1
Reflects downloads up to 19 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2023)NeuroCool: Dynamic Thermal Management of 3D DRAM for Deep Neural Networks through Customized PrefetchingACM Transactions on Design Automation of Electronic Systems10.1145/363001229:1(1-35)Online publication date: 18-Dec-2023
  • (2023)Dynamic Thermal Management of 3D Memory through Rotating Low Power States and Partial Channel ClosureACM Transactions on Embedded Computing Systems10.1145/362458122:6(1-27)Online publication date: 9-Nov-2023
  • (2023)Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core ProcessorsIEEE Journal on Emerging and Selected Topics in Circuits and Systems10.1109/JETCAS.2023.324476313:1(58-72)Online publication date: Mar-2023
  • (2023)Preliminaries and Related WorkQuality-of-Service Aware Design and Management of Embedded Mixed-Criticality Systems10.1007/978-3-031-38960-3_2(17-36)Online publication date: 24-Jul-2023
  • (2022)CoMeT: An Integrated Interval Thermal Simulation Toolchain for 2D, 2.5D, and 3D Processor-Memory SystemsACM Transactions on Architecture and Code Optimization10.1145/353218519:3(1-25)Online publication date: 22-Aug-2022
  • (2022)PACT: An Extensible Parallel Thermal Simulator for Emerging Integration and Cooling TechnologiesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.307916641:4(1048-1061)Online publication date: Apr-2022
  • (2021)Power-Aware Runtime Scheduler for Mixed-Criticality Systems on Multicore PlatformIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.303337440:10(2009-2023)Online publication date: Oct-2021
  • (2021)Mitigating the processor aging through dynamic concurrency throttlingJournal of Parallel and Distributed Computing10.1016/j.jpdc.2021.05.006156(86-100)Online publication date: Oct-2021
  • (2020)Debugging FPGA-accelerated Real-time Systems2020 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS)10.1109/RTAS48715.2020.00010(350-363)Online publication date: Apr-2020
  • (2019)Transparent Aging-Aware Thread Throttling2019 31st International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD)10.1109/SBAC-PAD.2019.00014(1-8)Online publication date: Oct-2019
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media