Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

Reducing leakage in a high-performance deep-submicron instruction cache

Published: 01 February 2001 Publication History

Abstract

Deep-submicron CMOS designs maintain high transistor switching speeds by scaling down the supply voltage and proportionately reducing the transistor threshold voltage. Lowering the threshold voltage increases leakage energy dissipation due to subthreshold leakage current even when the transistor is not switching. Estimates suggest a five-fold increase in leakage energy in every future generation. In modern microarchitectures, much of the leakage energy is dissipated in large on-chip cache memory structures with high transistor densities. While cache utilization varies both within and across applications, modern cache designs are fixed in size resulting in transistor leakage inefficiencies. This paper explores an integrated architectural and circuit-level approach to reducing leakage energy in instruction caches (i-caches). At the architecture level, we propose the Dynamically ResIzable i-cache (DRI i cache), a novel i-cache design that dynamically resizes and adapts to an application's required size. At the circuit-level, we use gated-V/sub dd/, a novel mechanism that effectively turns off the supply voltage to, and eliminates leakage in, the SRAM cells in a DRI i-cache's unused sections. Architectural and circuit-level simulation results indicate that a DRI i-cache successfully and robustly exploits the cache size variability both within and across applications. Compared to a conventional i-cache using an aggressively-scaled threshold voltage a 64 K DRI i-cache reduces on average both the leakage energy-delay product and cache size by 62%, with less than 4% impact on execution time. Our results also indicate that a wide NMOS dual-V/sub t/ gated-V/sub dd/ transistor with a charge pump offers the best gating implementation and virtually eliminates leakage energy with minimal increase in an SRAM cell read time area as compared to an i-cache with an aggressively-scaled threshold voltage.

Cited By

View all
  • (2014)Cloud ComputingACM Computing Surveys10.1145/265620447:2(1-36)Online publication date: 19-Dec-2014
  • (2014)Reducing cache leakage energy for hybrid SPM-cache architecturesProceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.1145/2656106.2656124(1-9)Online publication date: 12-Oct-2014
  • (2013)A survey on cache tuning from a power/energy perspectiveACM Computing Surveys10.1145/2480741.248074945:3(1-49)Online publication date: 3-Jul-2013
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

Publisher

IEEE Educational Activities Department

United States

Publication History

Published: 01 February 2001

Author Tags

  1. adaptive systems
  2. cache memories
  3. computer architecture
  4. energy management
  5. leakage currents
  6. reconfigurable architecture

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2014)Cloud ComputingACM Computing Surveys10.1145/265620447:2(1-36)Online publication date: 19-Dec-2014
  • (2014)Reducing cache leakage energy for hybrid SPM-cache architecturesProceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.1145/2656106.2656124(1-9)Online publication date: 12-Oct-2014
  • (2013)A survey on cache tuning from a power/energy perspectiveACM Computing Surveys10.1145/2480741.248074945:3(1-49)Online publication date: 3-Jul-2013
  • (2012)Energy-optimal caches with guaranteed lifetimeProceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design10.1145/2333660.2333696(141-146)Online publication date: 30-Jul-2012
  • (2011)Power-aware dynamic cache partitioning for CMPsTransactions on high-performance embedded architectures and compilers III10.5555/1980776.1980786(135-153)Online publication date: 1-Jan-2011
  • (2011)Power-Aware Dynamic Cache Partitioning for CMPsProceedings of the 2011 conference on Transactions on High-Performance Embedded Architectures and Compilers III - Volume 659010.1007/978-3-642-19448-1_8(135-153)Online publication date: 1-Jan-2011
  • (2007)Reducing leakage in power-saving capable caches for embedded systems by using a filter cacheProceedings of the 2007 workshop on MEmory performance: DEaling with Applications, systems and architecture10.1145/1327171.1327183(97-104)Online publication date: 16-Sep-2007
  • (2007)Reducing branch predictor leakage energy by exploiting loopsACM Transactions on Embedded Computing Systems10.1145/1234675.12346786:2(11-es)Online publication date: 1-May-2007
  • (2006)STV-CacheProceedings of the 16th ACM Great Lakes symposium on VLSI10.1145/1127908.1128000(404-409)Online publication date: 30-Apr-2006
  • (2005)Power reduction techniques for microprocessor systemsACM Computing Surveys10.1145/1108956.110895737:3(195-237)Online publication date: 1-Sep-2005
  • Show More Cited By

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media