Nothing Special   »   [go: up one dir, main page]

skip to main content
article

Efficient design space exploration for application specific systems-on-a-chip

Published: 01 October 2007 Publication History

Abstract

A reduction in the time-to-market has led to widespread use of pre-designed parametric architectural solutions known as system-on-a-chip (SoC) platforms. A system designer has to configure the platform in such a way as to optimize it for the execution of a specific application. Very frequently, however, the space of possible configurations that can be mapped onto a SoC platform is huge and the computational effort needed to evaluate a single system configuration can be very costly. In this paper we propose an approach which tackles the problem of design space exploration (DSE) in both of the fronts of the reduction of the number of system configurations to be simulated and the reduction of the time required to evaluate (i.e., simulate) a system configuration. More precisely, we propose the use of Multi-objective Evolutionary Algorithms as optimization technique and Fuzzy Systems for the estimation of the performance indexes to be optimized. The proposed approach is applied on a highly parameterized SoC platform based on a parameterized VLIW processor and a parameterized memory hierarchy for the optimization of performance and power dissipation. The approach is evaluated in terms of both accuracy and efficiency and compared with several established DSE approaches. The results obtained for a set of multimedia applications show an improvement in both accuracy and exploration time.

References

[1]
Vahid, F. and Givargis, T., Platform tuning for embedded systems design. IEEE Computer. v34 i3. 112-114.
[2]
Givargis, T., Vahid, F. and Henkel, J., System-level exploration for Pareto-optimal configurations in parameterized System-on-a-Chip. IEEE Transactions on Very Large Scale Integration Systems. v10 i2. 416-422.
[3]
Fornaciari, W., Sciuto, D., Silvano, C. and Zaccaria, V., A sensitivity-based design space exploration methodology for embedded systems. Design Automation for Embedded Systems. v7. 7-33.
[4]
Ascia, G., Catania, V. and Palesi, M., A multi-objective genetic approach for system-level exploration in parameterized systems-on-a-chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. v24 i4. 635-645.
[5]
G. Hekstra, D.L. Hei, P. Bingley, F. Sijstermans, TriMedia CPU64 design space exploration, in: International Conference on Computer Design, Austin, TX, 1999, pp. 599-606.
[6]
S.G. Abraham, B.R. Rau, R. Schreiber, Fast design space exploration through validity and quality filtering of subsystem designs, Tech. Rep. HPL-2000-98, HP Laboratories Palo Alto (July 2000).
[7]
R. Szymanek, F. Catthoor, K. Kuchcinski, Time-energy design space exploration for multi-layer memory architectures, in: Design, Automation and Test in Europe, 2004, pp. 181-190.
[8]
S. Neema, J. Sztipanovits, G. Karsai, Design-space construction and exploration in platform-based design, Tech. Rep. ISIS-02-301, Institute for Software Integrated Systems Vanderbilt University Nashville Tennessee 37235 (June 2002).
[9]
Ghosh, A. and Givargis, T., Cache optimization for embedded processor cores: an analytical approach. ACM Transactions on Design Automation of Electronic Systems. v9 i4. 419-440.
[10]
Eeckhout, L., Nussbaum, S., Smith, J.E. and Bosschere, K.D., Statistical simulation: adding efficiency to the computer designer's toolbox. IEEE Micro. v23 i5. 26-38.
[11]
S. Eyerman, L. Eechhout, K.D. Bosschere, Efficient design space exploration of high performance embedded out-of-order processors, in: DATE, 2006.
[12]
Mazumder, P. and Rudnick, E.M., Genetic algorithms for VLSI design. 1999. Prentice Hall, Inc.
[13]
C.J. Alpert, L.W. Hagen, A.B. Kahng, A hybrid multilevel/genetic approach for circuit partitioning, in: Fifth ACM/SIGDA Physical Design Workshop, 1996, pp. 100-105.
[14]
Shahookar, K. and Mazumder, P., A genetic approach to standard cell placement using metagenetic parameter optimization. IEEE Transactions on Computer-Aided Design. v9. 500-511.
[15]
Lienig, J. and Thulasiraman, K., A genetic algorithm for channel routing in VLSI circuits. Evolutionary Computation. v1 i4. 293-311.
[16]
Y.-M. Jiang, K.-T. Cheng, A. Krstic, Estimation of maximum power and instantaneous current using a genetic algorithm, in: Proceedings of IEEE Custom Integrated Circuits Conference, 1997, pp. 135-138.
[17]
V. Kommu, I. Pomenraz, GAFAP: Genetic algorithm for FPGA technology mapping, in: European Design Automation Conference, 1993, pp. 300-305.
[18]
Alpert, C.J. and Kahng, A.B., Recent developments in netlist partitioning: a survey. VLSI Journal. v19 i1-2. 1-81.
[19]
Saab, D., Saab, Y. and Abraham, J., Automatic test vector cultivation for sequential VLSI circuits using genetic algorithms. IEEE Transactions on Computer-Aided Design. v15 i10. 1278-1285.
[20]
G. Ascia, V. Catania, M. Palesi, Parameterized system design based on genetic algorithms, in: 9th International Symposium on Hardware/Software Co-Design, Copenhagen, Denmark, 2001, pp. 177-182.
[21]
Ascia, G., Catania, V. and Palesi, M., A GA based design space exploration framework for parameterized system-on-a-chip platforms. IEEE Transactions on Evolutionary Computation. v8 i4. 329-346.
[22]
W. Fornaciari, D. Sciuto, C. Silvano, V. Zaccaria, A design framework to efficiently explore energy-delay tradeoffs, in: 9th International Symposium on Hardware/Software Co-Design, Copenhagen, Denmark, 2001, pp. 260-265.
[23]
G. Ascia, V. Catania, M. Palesi, Tuning methodologies for parameterized systems design, in: K.A. Publisher (Ed.), System on Chip for Realtime Systems, 2002.
[24]
E. Zitzler, M. Laumanns, L. Thiele, SPEA2: Improving the performance of the strength pareto evolutionary algorithm, in: EUROGEN 2001, Evolutionary Methods for Design, Optimization and Control with Applications to Industrial Problems, Athens, Greece, 2001, pp. 95-100.
[25]
Zitzler, E. and Thiele, L., Multiobjective evolutionary algorithms: a comparative case study and the strength pareto approach. IEEE Transactions on Evolutionary Computation. v4 i3. 257-271.
[26]
Wang, L.-X. and Mendel, J.M., Generating fuzzy rules by learning from examples. IEEE Transactions on System, Man and Cybernetics. v22. 1414-1427.
[27]
Takagi, T. and Sugeno, M., Fuzzy identification of systems and its application to modeling and control. IEEE Transactions on System, Man and Cybernetics. v15. 116-132.
[28]
J.A. Fisher, Very long instruction word architectures and the ELI512, in: Tenth Annual International Symposium on Computer Architecture, 1983, pp. 140-150.
[29]
An infrastructure for research in instruction-level parallelism. <http://www.trimaran.org/>.
[30]
G. Ascia, V. Catania, M. Palesi, D. Patti, EPIC-Explorer: a parameterized VLIW-based platform framework for design space exploration, in: First Workshop on Embedded Systems for Real-Time Multimedia (ESTIMedia), Newport Beach, California, USA, 2003, pp. 65-72.
[31]
D. Patti, M. Palesi, EPIC-Explorer. <http://epic-explorer.sourceforge.net/> (July 2003).
[32]
Zeng, X.-J. and Keane, J.A., Approximation capabilities of hierarchical fuzzy systems. IEEE Transactions on Fuzzy Systems. v13 i5. 659-672.
[33]
Zitzler, E., Thiele, L., Laumanns, M., Fonseca, C.M. and da Fonseca, V.G., Performance assessment of multiobjective optimizers: an analysis and review. IEEE Transactions on Evolutionary Computation. v7 i2. 117-132.
[34]
J.D. Knowles, L. Thiele, E. Zitzler, A tutorial on the performance assessment of stochastive multiobjective optimizers, Tech. Rep. TIK-Report No. 214, Computer Engineering and Networks Laboratory, ETH Zurich, Swiss (February 2006). <http://dbk.ch.umist.ac.uk/knowles/TIK214b.pdf>.

Cited By

View all
  • (2023)FARSI: An Early-stage Design Space Exploration Framework to Tame the Domain-specific System-on-chip ComplexityACM Transactions on Embedded Computing Systems10.1145/354401622:2(1-35)Online publication date: 24-Jan-2023
  • (2020)Bayesian Optimization for Efficient Accelerator SynthesisACM Transactions on Architecture and Code Optimization10.1145/342737718:1(1-25)Online publication date: 30-Dec-2020
  • (2020) SystemC-based electronic system-level design space exploration environment for dedicated heterogeneous multi-processor systemsMicroprocessors & Microsystems10.1016/j.micpro.2019.10289872:COnline publication date: 1-Feb-2020
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image Journal of Systems Architecture: the EUROMICRO Journal
Journal of Systems Architecture: the EUROMICRO Journal  Volume 53, Issue 10
October, 2007
118 pages

Publisher

Elsevier North-Holland, Inc.

United States

Publication History

Published: 01 October 2007

Author Tags

  1. Design space exploration
  2. Embedded system design
  3. Evolutionary computation
  4. Fuzzy estimation
  5. Multi-objective optimization
  6. Very long instruction word processor

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 19 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2023)FARSI: An Early-stage Design Space Exploration Framework to Tame the Domain-specific System-on-chip ComplexityACM Transactions on Embedded Computing Systems10.1145/354401622:2(1-35)Online publication date: 24-Jan-2023
  • (2020)Bayesian Optimization for Efficient Accelerator SynthesisACM Transactions on Architecture and Code Optimization10.1145/342737718:1(1-25)Online publication date: 30-Dec-2020
  • (2020) SystemC-based electronic system-level design space exploration environment for dedicated heterogeneous multi-processor systemsMicroprocessors & Microsystems10.1016/j.micpro.2019.10289872:COnline publication date: 1-Feb-2020
  • (2019)Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore SystemsIEEE Transactions on Computers10.1109/TC.2018.288905368:6(852-866)Online publication date: 1-Jun-2019
  • (2019)Multi-objective algorithms for the application mapping problem in heterogeneous multiprocessor embedded system designThe Journal of Supercomputing10.1007/s11227-018-2442-275:8(4150-4176)Online publication date: 1-Aug-2019
  • (2017)Parallel High-Level Synthesis Design Space Exploration for Behavioral IPs of Exact LatenciesACM Transactions on Design Automation of Electronic Systems10.1145/304121922:4(1-20)Online publication date: 20-May-2017
  • (2016)Fuzzy logic based energy and throughput aware design space exploration for MPSoCsMicroprocessors & Microsystems10.1016/j.micpro.2015.08.00140:C(113-123)Online publication date: 1-Feb-2016
  • (2015)DeSpErate++: An Enhanced Design Space Exploration Framework Using Predictive Simulation SchedulingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2014.237963434:2(293-306)Online publication date: 16-Jan-2015
  • (2014)DeSpErateProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616873(1-4)Online publication date: 24-Mar-2014
  • (2014)Practitioners' and researchers' expectations on design space exploration for multicore systems in the automotive and avionics domainsProceedings of the 18th International Conference on Evaluation and Assessment in Software Engineering10.1145/2601248.2601250(1-10)Online publication date: 13-May-2014
  • Show More Cited By

View Options

View options

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media