Nothing Special   »   [go: up one dir, main page]

skip to main content
SPLASH: Stanford parallel applications for shared-memoryApril 1991
1991 Technical Report
Publisher:
  • Stanford University
  • 408 Panama Mall, Suite 217
  • Stanford
  • CA
  • United States
Published:01 April 1991
Reflects downloads up to 01 Oct 2024Bibliometrics
Skip Abstract Section
Abstract

This report was replaced and updated in CSL-TR-92-526

Cited By

  1. Oz I, Topcuoglu H, Kandemir M and Tosun O Performance-reliability tradeoff analysis for multithreaded applications Proceedings of the Conference on Design, Automation and Test in Europe, (893-898)
  2. Power R and Li J Piccolo Proceedings of the 9th USENIX conference on Operating systems design and implementation, (293-306)
  3. Shang H, Zhang J, Chen W, Zheng W and Huang Z Performance evaluation of view-oriented parallel programming on cluster of computers Proceedings of the Third international conference on High Performance Computing and Communications, (120-131)
  4. de Mello R, Senger L, Li K and Yang L A new memory slowdown model for the characterization of computing systems Proceedings of the 9th international conference on Parallel Computing Technologies, (516-524)
  5. ACM
    Zhang Z, Hu Y and Midkiff S CycleMeter Proceedings of the 2006 ACM/IEEE conference on Supercomputing, (124-es)
  6. de Mello R and Senger L Model for simulation of heterogeneous high-performance computing environments Proceedings of the 7th international conference on High performance computing for computational science, (107-119)
  7. Weatherly D, Lowenthal D, Nakazawa M and Lowenthal F (2019). Dyn-MPI, Journal of Parallel and Distributed Computing, 66:6, (822-838), Online publication date: 1-Jun-2006.
  8. Vaidya A, Sivasubramaniam A and Das C (2001). Impact of Virtual Channels and Adaptive Routing on Application Performance, IEEE Transactions on Parallel and Distributed Systems, 12:2, (223-237), Online publication date: 1-Feb-2001.
  9. Hu Y, Cox A and Zwaenepoel W Improving fine-grained irregular shared-memory benchmarks by data reordering Proceedings of the 2000 ACM/IEEE conference on Supercomputing, (33-es)
  10. Morin C, Kermarrec A, Banâtre M and Gefflaut A (2000). An Efficient and Scalable Approach for Implementing Fault-Tolerant DSM Architectures, IEEE Transactions on Computers, 49:5, (414-430), Online publication date: 1-May-2000.
  11. ACM
    Yeo J, Yeom H and Park T An asynchronous protocol for release consistent distributed shared memory systems Proceedings of the 2000 ACM symposium on Applied computing - Volume 2, (716-721)
  12. Sivasubramaniam A, Singla A, Ramachandran U and Venkateswaran H (1999). An Application-Driven Study of Parallel System Overheads and Network Bandwidth Requirements, IEEE Transactions on Parallel and Distributed Systems, 10:3, (193-210), Online publication date: 1-Mar-1999.
  13. Luk C and Mowry T (1999). Automatic Compiler-Inserted Prefetching for Pointer-Based Applications, IEEE Transactions on Computers, 48:2, (134-141), Online publication date: 1-Feb-1999.
  14. ACM
    Lenoski D, Laudon J, Joe T, Nakahira D, Stevens L, Gupta A and Hennessy J The DASH prototype 25 years of the international symposia on Computer architecture (selected papers), (418-429)
  15. Grün T, Rauber T and Röhrig J (2018). Support for Efficient Programming on the SB-PRAM, International Journal of Parallel Programming, 26:3, (209-240), Online publication date: 1-Jun-1998.
  16. Sarkar P and Bailey M (2019). Adapting the Network Interface for High-Performance Computing, The Journal of Supercomputing, 11:2, (181-200), Online publication date: 1-Oct-1997.
  17. Seed D, Sivasubramaniam A and Das C Communication in Parallel Applications Proceedings of the international Conference on Parallel Processing, (446-453)
  18. Speight E and Bennett J Brazos Proceedings of the USENIX Windows NT Workshop on The USENIX Windows NT Workshop 1997, (13-13)
  19. ACM
    Vaidya A, Sivasubramaniam A and Das C Performance benefits of virtual channels and adaptive routing Proceedings of the 11th international conference on Supercomputing, (140-147)
  20. ACM
    Grunwald D and Neves R (1996). Whole-program optimization for time and space efficient threads, ACM SIGOPS Operating Systems Review, 30:5, (50-59), Online publication date: 1-Dec-1996.
  21. ACM
    Grunwald D and Neves R Whole-program optimization for time and space efficient threads Proceedings of the seventh international conference on Architectural support for programming languages and operating systems, (50-59)
  22. Banâtre M, Gefflaut A, Joubert P, Morin C and Lee P (1996). An Architecture for Tolerating Processor Failures in Shared-Memory Multiprocessors, IEEE Transactions on Computers, 45:10, (1101-1115), Online publication date: 1-Oct-1996.
  23. ACM
    Grunwald D and Neves R (2019). Whole-program optimization for time and space efficient threads, ACM SIGPLAN Notices, 31:9, (50-59), Online publication date: 1-Sep-1996.
  24. Sarkar P and Bailey M CNI Proceedings of the 5th IEEE International Symposium on High Performance Distributed Computing
  25. Protic J, Tomasevic M and Milutinovic V (1996). Distributed Shared Memory, IEEE Parallel & Distributed Technology: Systems & Technology, 4:2, (63-79), Online publication date: 1-Jun-1996.
  26. ACM
    Seznec A Don't use the page number, but a pointer to it Proceedings of the 23rd annual international symposium on Computer architecture, (104-113)
  27. ACM
    Morin C, Gefflaut A, Banâtre M and Kermarrec A COMA Proceedings of the 23rd annual international symposium on Computer architecture, (56-65)
  28. ACM
    Seznec A (1996). Don't use the page number, but a pointer to it, ACM SIGARCH Computer Architecture News, 24:2, (104-113), Online publication date: 1-May-1996.
  29. ACM
    Morin C, Gefflaut A, Banâtre M and Kermarrec A (1996). COMA, ACM SIGARCH Computer Architecture News, 24:2, (56-65), Online publication date: 1-May-1996.
  30. Park D and Saavedra R Trojan Proceedings of the 29th Annual Simulation Symposium (SS '96)
  31. Adve S, Cox A, Dwarkadas S, Rajamony R and Zwaenepoel W A Comparison of Entry Consistency and Lazy Release Consistency Implementations Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
  32. Raynaud A, Zhang Z and Torrellas J Distance-Adaptive Update Protocols for Scalable Shared-Memory Multiprocessors Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
  33. Rajamony R and Cox A A Performance Debugger for Eliminating Excess Synchronization in Shared-Memory Parallel Programs Proceedings of the 4th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems
  34. ACM
    Xiao Y and Bennett J Memory organization in multi-channel optical networks Proceedings of the 10th international conference on Supercomputing, (26-34)
  35. ACM
    Ramachandran U, Shah G, Sivasubramaniam A, Singla A and Yanasak I Architectural mechanisms for explicit communication in shared memory multiprocessors Proceedings of the 1995 ACM/IEEE conference on Supercomputing, (62-es)
  36. ACM
    Jeremiassen T and Eggers S (1995). Reducing false sharing on shared memory multiprocessors through compile time data transformations, ACM SIGPLAN Notices, 30:8, (179-188), Online publication date: 1-Aug-1995.
  37. ACM
    Jeremiassen T and Eggers S Reducing false sharing on shared memory multiprocessors through compile time data transformations Proceedings of the fifth ACM SIGPLAN symposium on Principles and practice of parallel programming, (179-188)
  38. ACM
    Zhang Z and Torrellas J Speeding up irregular applications in shared-memory multiprocessors Proceedings of the 22nd annual international symposium on Computer architecture, (188-199)
  39. ACM
    Kodama Y, Sakane H, Sato M, Yamana H, Sakai S and Yamaguchi Y The EM-X parallel computer Proceedings of the 22nd annual international symposium on Computer architecture, (14-23)
  40. Suri G and Jannsens B Reduced Overhead Logging for Rollback Recovery in Distributed Shared Memory Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
  41. ACM
    Zhang Z and Torrellas J (1995). Speeding up irregular applications in shared-memory multiprocessors, ACM SIGARCH Computer Architecture News, 23:2, (188-199), Online publication date: 1-May-1995.
  42. ACM
    Kodama Y, Sakane H, Sato M, Yamana H, Sakai S and Yamaguchi Y (1995). The EM-X parallel computer, ACM SIGARCH Computer Architecture News, 23:2, (14-23), Online publication date: 1-May-1995.
  43. ACM
    Sivasubramaniam A, Singla A, Ramachandran U and Venkateswaran H On characterizing bandwidth requirements of parallel applications Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, (198-207)
  44. ACM
    Sivasubramaniam A, Singla A, Ramachandran U and Venkateswaran H (1995). On characterizing bandwidth requirements of parallel applications, ACM SIGMETRICS Performance Evaluation Review, 23:1, (198-207), Online publication date: 1-May-1995.
  45. Madhyastha T and Reed D (1995). Data Sonification, IEEE Software, 12:2, (45-56), Online publication date: 1-Mar-1995.
  46. ACM
    Öner K, Barroso L, Iman S, Jeong J, Ramamurthy K and Dubois M The design of RPM Proceedings of the 1995 ACM third international symposium on Field-programmable gate arrays, (60-66)
  47. Saulsbury A, Wilkinson T, Carter J and Landin A An argument for simple COMA Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
  48. Sivasubramaniam A, Singla M, Ramachandran U and Venkateswaran H Abstracting network characteristics and locality properties of parallel systems Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
  49. Kohr D, Zhang X, Rahman M and Reed D (2018). The performance of an object-oriented, parallel operating system, Scientific Programming, 3:4, (301-324), Online publication date: 20-Dec-1994.
  50. Gefflaut A, Morin C and Banâtre M Tolerating node failures in cache only memory architectures Proceedings of the 1994 ACM/IEEE conference on Supercomputing, (370-379)
  51. Jeremiassen T and Eggers S Static Analysis of Barrier Synchronization in Explicitly Parallel Programs Proceedings of the IFIP WG10.3 Working Conference on Parallel Architectures and Compilation Techniques, (171-180)
  52. ACM
    Agrawal D, Choy M, Va Leong H and Singh A Maya: a simulation platform for distributed shared memories Proceedings of the eighth workshop on Parallel and distributed simulation, (151-155)
  53. ACM
    Hummel J, Hendren L and Nicolau A A general data dependence test for dynamic, pointer-based data structures Proceedings of the ACM SIGPLAN 1994 conference on Programming language design and implementation, (218-229)
  54. ACM
    Agrawal D, Choy M, Va Leong H and Singh A (2019). Maya: a simulation platform for distributed shared memories, ACM SIGSIM Simulation Digest, 24:1, (151-155), Online publication date: 1-Jul-1994.
  55. ACM
    Hummel J, Hendren L and Nicolau A (2019). A general data dependence test for dynamic, pointer-based data structures, ACM SIGPLAN Notices, 29:6, (218-229), Online publication date: 1-Jun-1994.
  56. ACM
    Sivasubramaniam A, Singla A, Ramachandran U and Venkateswaran H (2019). An approach to scalability study of shared memory parallel systems, ACM SIGMETRICS Performance Evaluation Review, 22:1, (171-180), Online publication date: 1-May-1994.
  57. ACM
    Sivasubramaniam A, Singla A, Ramachandran U and Venkateswaran H An approach to scalability study of shared memory parallel systems Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (171-180)
  58. ACM
    Wahbe R, Lucco S, Anderson T and Graham S Efficient software-based fault isolation Proceedings of the fourteenth ACM symposium on Operating systems principles, (203-216)
  59. Drach N and Seznec A MIDEE Proceedings of the 26th annual international symposium on Microarchitecture, (193-201)
  60. ACM
    Wahbe R, Lucco S, Anderson T and Graham S (2019). Efficient software-based fault isolation, ACM SIGOPS Operating Systems Review, 27:5, (203-216), Online publication date: 1-Dec-1993.
  61. ACM
    Goldschmidt S and Hennessy J (1993). The accuracy of trace-driven simulations of multiprocessors, ACM SIGMETRICS Performance Evaluation Review, 21:1, (146-157), Online publication date: 1-Jun-1993.
  62. ACM
    Goldschmidt S and Hennessy J The accuracy of trace-driven simulations of multiprocessors Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, (146-157)
  63. ACM
    Spertus E, Goldstein S, Schauser K, von Eicken T, Culler D and Dally W Evaluation of mechanisms for fine-grained parallel programs in the J-machine and the CM-5 Proceedings of the 20th annual international symposium on computer architecture, (302-313)
  64. ACM
    Tullsen D and Eggers S Limitations of cache prefetching on a bus-based multiprocessor Proceedings of the 20th annual international symposium on computer architecture, (278-288)
  65. ACM
    Dwarkadas S, Keleher P, Cox A and Zwaenepoel W Evaluation of release consistent software distributed shared memory on emerging network technology Proceedings of the 20th annual international symposium on computer architecture, (144-155)
  66. ACM
    Cox A and Fowler R Adaptive cache coherency for detecting migratory shared data Proceedings of the 20th annual international symposium on computer architecture, (98-108)
  67. ACM
    Spertus E, Goldstein S, Schauser K, von Eicken T, Culler D and Dally W (1993). Evaluation of mechanisms for fine-grained parallel programs in the J-machine and the CM-5, ACM SIGARCH Computer Architecture News, 21:2, (302-313), Online publication date: 1-May-1993.
  68. ACM
    Tullsen D and Eggers S (1993). Limitations of cache prefetching on a bus-based multiprocessor, ACM SIGARCH Computer Architecture News, 21:2, (278-288), Online publication date: 1-May-1993.
  69. ACM
    Dwarkadas S, Keleher P, Cox A and Zwaenepoel W (1993). Evaluation of release consistent software distributed shared memory on emerging network technology, ACM SIGARCH Computer Architecture News, 21:2, (144-155), Online publication date: 1-May-1993.
  70. ACM
    Cox A and Fowler R (1993). Adaptive cache coherency for detecting migratory shared data, ACM SIGARCH Computer Architecture News, 21:2, (98-108), Online publication date: 1-May-1993.
  71. ACM
    Mosberger D (1993). Memory consistency models, ACM SIGOPS Operating Systems Review, 27:1, (18-26), Online publication date: 1-Jan-1993.
  72. Lenoski D, Laudon J, Joe T, Nakahira D, Stevens L, Gupta A and Hennessy J (2019). The DASH Prototype, IEEE Transactions on Parallel and Distributed Systems, 4:1, (41-61), Online publication date: 1-Jan-1993.
  73. Bennett J, Dwarkadas S, Greenwood J and Speight E Willow: a scalable shared memory multiprocessor Proceedings of the 1992 ACM/IEEE conference on Supercomputing, (336-345)
  74. ACM
    Mowry T, Lam M and Gupta A (2019). Design and evaluation of a compiler algorithm for prefetching, ACM SIGPLAN Notices, 27:9, (62-73), Online publication date: 1-Sep-1992.
  75. ACM
    Mowry T, Lam M and Gupta A Design and evaluation of a compiler algorithm for prefetching Proceedings of the fifth international conference on Architectural support for programming languages and operating systems, (62-73)
  76. Hagersten E, Landin A and Haridi S (2019). DDM, Computer, 25:9, (44-54), Online publication date: 1-Sep-1992.
  77. Gupta A and Weber W (2019). Cache Invalidation Patterns in Shared-Memory Multiprocessors, IEEE Transactions on Computers, 41:7, (794-810), Online publication date: 1-Jul-1992.
  78. ACM
    Martonosi M, Gupta A and Anderson T (1992). MemSpy: analyzing memory system bottlenecks in programs, ACM SIGMETRICS Performance Evaluation Review, 20:1, (1-12), Online publication date: 1-Jun-1992.
  79. ACM
    Martonosi M, Gupta A and Anderson T MemSpy: analyzing memory system bottlenecks in programs Proceedings of the 1992 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems, (1-12)
  80. ACM
    Boothe B and Ranade A Improved multithreading techniques for hiding communication latency in multiprocessors Proceedings of the 19th annual international symposium on Computer architecture, (214-223)
  81. ACM
    Dubnicki C and LeBlanc T Adjustable block size coherent caches Proceedings of the 19th annual international symposium on Computer architecture, (170-180)
  82. ACM
    Lenoski D, Laudon J, Joe T, Nakahira D, Stevens L, Gupta A and Hennessy J The DASH prototype Proceedings of the 19th annual international symposium on Computer architecture, (92-103)
  83. ACM
    Stenström P, Joe T and Gupta A Comparative performance evaluation of cache-coherent NUMA and COMA architectures Proceedings of the 19th annual international symposium on Computer architecture, (80-91)
  84. ACM
    Gharachorloo K, Gupta A and Hennessy J Hiding memory latency using dynamic scheduling in shared-memory multiprocessors Proceedings of the 19th annual international symposium on Computer architecture, (22-33)
  85. ACM
    Keleher P, Cox A and Zwaenepoel W Lazy release consistency for software distributed shared memory Proceedings of the 19th annual international symposium on Computer architecture, (13-21)
  86. ACM
    Boothe B and Ranade A (1992). Improved multithreading techniques for hiding communication latency in multiprocessors, ACM SIGARCH Computer Architecture News, 20:2, (214-223), Online publication date: 1-May-1992.
  87. ACM
    Dubnicki C and LeBlanc T (1992). Adjustable block size coherent caches, ACM SIGARCH Computer Architecture News, 20:2, (170-180), Online publication date: 1-May-1992.
  88. ACM
    Lenoski D, Laudon J, Joe T, Nakahira D, Stevens L, Gupta A and Hennessy J (1992). The DASH prototype, ACM SIGARCH Computer Architecture News, 20:2, (92-103), Online publication date: 1-May-1992.
  89. ACM
    Stenström P, Joe T and Gupta A (1992). Comparative performance evaluation of cache-coherent NUMA and COMA architectures, ACM SIGARCH Computer Architecture News, 20:2, (80-91), Online publication date: 1-May-1992.
  90. ACM
    Gharachorloo K, Gupta A and Hennessy J (2019). Hiding memory latency using dynamic scheduling in shared-memory multiprocessors, ACM SIGARCH Computer Architecture News, 20:2, (22-33), Online publication date: 1-May-1992.
  91. ACM
    Keleher P, Cox A and Zwaenepoel W (2019). Lazy release consistency for software distributed shared memory, ACM SIGARCH Computer Architecture News, 20:2, (13-21), Online publication date: 1-May-1992.
Contributors
  • Princeton University
  • Microsoft Research
Please enable JavaScript to view thecomments powered by Disqus.

Recommendations