Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/545215.545233acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article

Power and performance evaluation of globally asynchronous locally synchronous processors

Published: 01 May 2002 Publication History

Abstract

Due to shrinking technologies and increasing design sizes, it is becoming more difficult and expensive to distribute a global clock signal with low skew throughout a processor die. Asynchronous processor designs do not suffer from this problem since they do not have a global clock. However, a paradigm shift from synchronous to asynchronous is unlikely to happen in the processor industry in the near future. Hence the study of Globally Asynchronous Locally Synchronous (or GALS) systems is relevant. In this paper we use a cycle-accurate simulation environment to study the impact of asynchrony in a superscalar processor architecture. Our results show that as expected, going from a synchronous to a GALS design causes a drop in performance, but elimination of the global clock does not lead to drastic power reductions. From a power perspective, GALS designs are inherently less efficient when compared to synchronous architectures. However, the flexibility offered by the independently controllable local clocks enables the effective use of other energy conservation techniques like dynamic voltage scaling. Our results show that for a 5-clock domain GALS processor, the drop in performance ranges between 5-15%, while power consumption is reduced by 10% on the average. Fine-grained voltage scaling reduces the gap between fully synchronous and GALS implementations, allowing for better power efficiency.

References

[1]
I. E. Sutherland, "Micropipelines," Communications of the ACM, June 1989.
[2]
D. M. Chapiro, Globally Asynchronous Locally Synchronous Systems. PhD thesis, Stanford University, 1984.
[3]
S. B. Furber, D. A. Edwards, and J. D. Garside, "AMULET3: A 100 MIPS Asynchronous Embedded Processor," in Proc. Intl. Conference on Computer Design (ICCD), 2000.
[4]
T. Chelcea and S. M. Nowick, "A Low-Latency FIFO for Mixed-Clock Systems," in Proc. IEEE Computer Society Workshop on VLSI, 2000.
[5]
T. Chelcea and S. M. Nowick, "Robust Interfaces for Mixed-Timing Systems with Application to Latency-Insensitive Protocols," in Proc. Design Automation Conference (DAC), 2001.
[6]
A. Hemani, T. Meincke, S. Kumar, A. Postula, T. Olsson, P. Nilsson, J. Oberg, P. Ellervee, and D. Lundqvist, "Lower Power Consumption in Clock By Using Globally Asynchronous Locally Synchronous Design Style," in Proc. Design Automation Conference (DAC), 1999.
[7]
J. Muttersbach, T. Villiger, and W. Fitchner, "Practical Design of Globally Asynchronous Locally Synchronous Systems," in Proc. Intl. Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC), 2000.
[8]
S. W. Moore, G. S. Taylor, P. A. Cunningham, R. D. Mullins, and P. Robinson, "Self Calibrating Clocks for Globally Asynchronous Locally Synchronous Circuits," in Proc. Intl. Conference on Computer Design (ICCD), 2000.
[9]
K.Y. Yun and A. E. Dooply, "Pausible Clocking-Based Heterogeneous Systems," IEEE Transactions on VLSI Systems, December 1999.
[10]
G. Semeraro, G. Magklis, R. Balasubramonian, D. H. Albonesi, S. Dwarakadas, and M. L. Scott, "Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling," in Proc. Intl. Symp. on High Performance Computer Architecture (HPCA), 2002.
[11]
P. J. Restle et al., "A Clock Distribution Network lot Microprocessors," IEEE Journal of Solid State Circuits (JSSC), May 2001.
[12]
D. W. Bailey and B. J. Benschneider, "Clocking Design and Analysis for a 600-MHz Alpha Microprocessor," IEEE Journal of Solid State Circuits (JSSC), Nov 1998.
[13]
S. Tam, S. Rusu, U. N. Desai, R. Kim, J. Zhang, and I. Young, "Clock Generation and Distribution for the First IA-64 Microprocessor," IEEE Journal of Solid State Circuits (JSSC), November 2000.
[14]
J. M. Rabaey, Digital Integrated Circuits: A Design Perspective. Prentice Hall, 1996.
[15]
K. Chen and C. Hu, "Performance and Vdd Scaling in Deep Submicrometer CMOS," IEEE Journal of Solid State Circuits (JSSC), October 1998.
[16]
D. Burger and T. M. Austin, "The SimpleScalar Tool Set, version 2.0," Tech. Rep. 1342, University of Wisconsin-Madison, CS Department, June 1997.
[17]
D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A Framework for Architectural-level Power Analysis and Optimizations," in Proc. Intl Symp on Computer Architecture (ISCA), 2000.
[18]
"Spec95 Benchmarks." http://www.spec.org.
[19]
C. Lee, M. Potkonjak, and W. H. Mangione-Smith, "Mediabench: a Tool for Evaluating and Synthesizing Multimedia and Communications Systems," in International Symposium on Microarchitecture (MICRO), 1997.

Cited By

View all
  • (2019)Asynchronous Interfaces for IOPT-Flow to Support GALS SystemsIECON 2019 - 45th Annual Conference of the IEEE Industrial Electronics Society10.1109/IECON.2019.8926790(3051-3056)Online publication date: 14-Oct-2019
  • (2018)The Tick Programmable Low-Latency SDR SystemGetMobile: Mobile Computing and Communications10.1145/3229316.322932622:1(26-30)Online publication date: 25-May-2018
  • (2017)The Tick Programmable Low-Latency SDR SystemProceedings of the 23rd Annual International Conference on Mobile Computing and Networking10.1145/3117811.3117834(101-113)Online publication date: 4-Oct-2017
  • Show More Cited By

Index Terms

  1. Power and performance evaluation of globally asynchronous locally synchronous processors

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISCA '02: Proceedings of the 29th annual international symposium on Computer architecture
      May 2002
      346 pages
      ISBN:076951605X
      • Conference Chair:
      • Yale Patt,
      • Program Chair:
      • Dirk Grunwald,
      • Publications Chair:
      • Kevin Skadron
      • cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 30, Issue 2
        Special Issue: Proceedings of the 29th annual international symposium on Computer architecture (ISCA '02)
        May 2002
        304 pages
        ISSN:0163-5964
        DOI:10.1145/545214
        Issue’s Table of Contents

      Sponsors

      Publisher

      IEEE Computer Society

      United States

      Publication History

      Published: 01 May 2002

      Check for updates

      Qualifiers

      • Article

      Conference

      ISCA02
      Sponsor:

      Acceptance Rates

      ISCA '02 Paper Acceptance Rate 27 of 180 submissions, 15%;
      Overall Acceptance Rate 543 of 3,203 submissions, 17%

      Upcoming Conference

      ISCA '25

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)21
      • Downloads (Last 6 weeks)3
      Reflects downloads up to 30 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2019)Asynchronous Interfaces for IOPT-Flow to Support GALS SystemsIECON 2019 - 45th Annual Conference of the IEEE Industrial Electronics Society10.1109/IECON.2019.8926790(3051-3056)Online publication date: 14-Oct-2019
      • (2018)The Tick Programmable Low-Latency SDR SystemGetMobile: Mobile Computing and Communications10.1145/3229316.322932622:1(26-30)Online publication date: 25-May-2018
      • (2017)The Tick Programmable Low-Latency SDR SystemProceedings of the 23rd Annual International Conference on Mobile Computing and Networking10.1145/3117811.3117834(101-113)Online publication date: 4-Oct-2017
      • (2017)Models of computation for NoC mappingMicroelectronics Journal10.1016/j.mejo.2016.09.00560:C(129-143)Online publication date: 1-Feb-2017
      • (2015)Dynamic MIPS Rate Stabilization for Complex ProcessorsACM Transactions on Architecture and Code Optimization10.1145/271457512:1(1-25)Online publication date: 2-Apr-2015
      • (2013)inTuneProceedings of the First ACM SIGOPS Conference on Timely Results in Operating Systems10.1145/2524211.2524213(1-16)Online publication date: 3-Nov-2013
      • (2013)Step persistence in the design of GALS systemsProceedings of the 34th international conference on Application and Theory of Petri Nets and Concurrency10.1007/978-3-642-38697-8_11(190-209)Online publication date: 24-Jun-2013
      • (2012)Exploring pausible clocking based GALS design for 40-nm system integrationProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492984(1118-1121)Online publication date: 12-Mar-2012
      • (2012)Adaptive Voltage Scaling in a Dynamically Reconfigurable FPGA-Based PlatformACM Transactions on Reconfigurable Technology and Systems10.1145/2392616.23926185:4(1-22)Online publication date: 1-Dec-2012
      • (2011)A phase adaptive cache hierarchy for SMT processorsMicroprocessors & Microsystems10.1016/j.micpro.2011.08.00835:8(683-694)Online publication date: 1-Nov-2011
      • Show More Cited By

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media