Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/144953.144998acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free access

Effective compiler support for predicated execution using the hyperblock

Published: 10 December 1992 Publication History
First page of PDF

References

[1]
R. A. Towle, Control and Data Dependence }or Program Transformations. PhD thesis, Department of Computer Science, University of Illinois, Urbana-Champaign, IL, 1976.
[2]
J.R. Allen, K. Kennedy, C. Porterfield, and J. Warren, "Conversion of control dependence to data dependence," in Proceedings of the l Oth A CM Symposium on Principles of Programming Languages, pp. 177-189, January 1983.
[3]
J. C. H. Park and M. S. Schlansker, "On predicated execution,'' Tech. Rep. HPL-91-58, HP Laboratories, Palo Alto, CA, May 1991.
[4]
B. R. Rau and C. D. Glaeser, "Some scheduling techniques and an easily schedulable horizontal architecture for high performance scientific computing," in Proceedings of the 20th Annual Workshop on Microprogramming and Microarchitecture, pp. 183-198, October 1981.
[5]
M. S. Lean, "Software pipellning: An effective scheduling technique for VLIW machines," in Proceedings of the A CM SIGPLAN 1988 Conference on Programming Language Design and Implementation, pp. 318-328, June 1988.
[6]
A. Aiken and A. Nicolau, "Optimal loop parallelization," in Proceedings of the ACM SiGPLAN 1988 Conference on Pro. gramming Language Design and Implementation, pp. 308- 317, June 1988.
[7]
B. R. Rau, D. W. L. Yen, W. Yen, and R. A. Towle, "The Cydra 5 departmental supercomputer," IEEE Computer, pp. 12-35, January 1989.
[8]
J. C. Dehnert, P. Y. T. Hsu, and J. P. Bratt, "Overlapped loop support in the Cydra 5," in Proceedings of the 17th International Symposium on Computer Architecture, pp. 26- 38, May 1989.
[9]
P. Y. T. Hsu and E. S. Davidson, "Highly concurrent scalar processing," in Proceedings of the 13th International Symposium on Computer Architecture, pp. 386-395, June 1986.
[10]
P. P. Chang, S. A. Mahlke, W. Y. Chen, N. J. Warter, and W. W. Hwu, "IMPACT: An architectural framework for multiple-instruction-issue processors," in Proceedings of the 18th International Symposium on Computer Architecture, pp. 266-275, May 1991.
[11]
W. W. Hwu, S. A. Mahlke, W. Y. Chen, P. P. Chang, N. J. Water, R. A. Bringmann, R. G. Ouellette, R. E. Hank, T. Kiyohara, G. E. Haab, J. G. Holm, and D. M. Lavery, "The superblock: An effective structure for VLiW and superscalar compilation," To appear Journal of Supercomput. ing, january 1993.
[12]
J. Ferrante, K. J. Ottenstein, and J. D. Warren, "The program dependence graph and its use in optimization," A CM Transactions on Programming Languages and Systems, vol. 9, pp. 319-349, July 1987.
[13]
P. Tirumalai, M. Lee, and M. Schlansker, "Parallellzation of loops with exits on pipelined architectures," in Proceedings of Supercomputing '90, November 1990.
[14]
S.A. Mahlke, W. Y. Chen, W. W. Hwu, B. R. Rau, and M. S. Schlansker, "Sentinel scheduling for VLIW and superscalar processors," in Proceedings of 5th International Conference on Architectural Support for Programming Languages and Operating Systems, October 1992.
[15]
E. Morel and C. Renviose, "Global optimization by suppression of partial redundancies," Communications of the A CM, pp. 96-103, February 1979.

Cited By

View all
  • (2021)NOVIA: A Framework for Discovering Non-Conventional Inline AcceleratorsMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480094(507-521)Online publication date: 18-Oct-2021
  • (2020)Auto-predication of critical branchesProceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture10.1109/ISCA45697.2020.00019(92-104)Online publication date: 30-May-2020
  • (2019)Memory-access-aware Safety and Profitability Analysis for Transformation of Accelerator-bound OpenMP LoopsACM Transactions on Architecture and Code Optimization10.1145/333306016:3(1-26)Online publication date: 18-Jul-2019
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
MICRO 25: Proceedings of the 25th annual international symposium on Microarchitecture
December 1992
301 pages
ISBN:0818631759

Sponsors

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 10 December 1992

Check for updates

Qualifiers

  • Article

Conference

MICRO92
Sponsor:

Acceptance Rates

Overall Acceptance Rate 484 of 2,242 submissions, 22%

Upcoming Conference

MICRO '24

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)227
  • Downloads (Last 6 weeks)23
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2021)NOVIA: A Framework for Discovering Non-Conventional Inline AcceleratorsMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480094(507-521)Online publication date: 18-Oct-2021
  • (2020)Auto-predication of critical branchesProceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture10.1109/ISCA45697.2020.00019(92-104)Online publication date: 30-May-2020
  • (2019)Memory-access-aware Safety and Profitability Analysis for Transformation of Accelerator-bound OpenMP LoopsACM Transactions on Architecture and Code Optimization10.1145/333306016:3(1-26)Online publication date: 18-Jul-2019
  • (2019)PrecedenceProceedings of the 2019 ACM Symposium on SDN Research10.1145/3314148.3314348(1-7)Online publication date: 3-Apr-2019
  • (2019)Context-Sensitive FencingProceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3297858.3304060(395-410)Online publication date: 4-Apr-2019
  • (2018)SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order coresACM SIGPLAN Notices10.1145/3296979.319239353:4(328-343)Online publication date: 11-Jun-2018
  • (2018)SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order coresProceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation10.1145/3192366.3192393(328-343)Online publication date: 11-Jun-2018
  • (2018)Dynamic memory dependence predicationProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00029(235-246)Online publication date: 2-Jun-2018
  • (2017)Clairvoyance: look-ahead compile-time schedulingProceedings of the 2017 International Symposium on Code Generation and Optimization10.5555/3049832.3049852(171-184)Online publication date: 4-Feb-2017
  • (2017)CG-OoOACM Transactions on Architecture and Code Optimization10.1145/315103414:4(1-26)Online publication date: 5-Dec-2017
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media