Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/277830.277935acmconferencesArticle/Chapter ViewAbstractPublication PagesicsConference Proceedingsconference-collections
Article
Free access

Vector architectures: past, present and future

Published: 13 July 1998 Publication History
First page of PDF

References

[1]
J. E. Thornton. Design of a Computer-The Control Data 6600. Scott, Foresman, Glenview, II1., 1970.
[2]
R. G. Hintz and D. P. Tare. Control data STAR-100 processor design. In Proc. Compcon 7~, pages I-4, New York, 1972. IEEE Computer Society Conf. 1972, IEEE.
[3]
W. Watson. The Ti-ASC, A highly modular and flexible super computer architecture. Proc. AFIPS, 41, pt. 1:221-228, 1972.
[4]
R. M. Russell. The GRAY-1 computer system. Communications of the ACM, 21(1):63-72, January 1978.
[5]
Dennis Fazio. It's really much more fun building a supercomputer than it is simply inventing one. In SPRING COMP. CON'87, pages 102-105, San Francisco, USA, February 23-27 1987. IEEE Computer Society Press.
[6]
R. A. Fatoohi. Vector performance analysis of three supercomputers: Cray 2, tray Y-MP, and ETA 10-Q. In Proceedings of the Supercomputing 39, pages 779-788, Reno, NV USA, 1989. ACM Press, New York, NY, USA.
[7]
Jim Keller. The 21264: A Superscalar Alpha Processor with Outof-Order Execution. In Microprocessor Forum, October 1996.
[8]
John D. McCalpin. Memory Bandwidth and Machine Balance in Current High Performance Computers. {EEE TCCA Newsletter, December 1995.
[9]
Digital Equipment Corporation, Maynard, Massachusetts. DEC- chip 21071 and DECchip ~I07~ Core Logic Chipsets -Data Sheet, EC-QAEMB-TE edition, January 1996.
[10]
Jack K. Dongarra, Hans W. Meuer, and Erich Strohmaier. TOP500 Supercomputer Sites. In IEEE SC97 Conference, November 1997.
[11]
Margaret L. Simmons, Harvey J. Wasserman, Olaf M. Lubeck, Christopher Eoyang, Raul Mendez, Hiroo Harada, and Misako Ishiguro. A performance comparison of four supercomputers. Communications of the ACM, 35(3):116-124, 1992.
[12]
Betty Prince. High Performance Memories. Wiley g~ Sons, Ltd., 1996.
[13]
Willi SchSnauer and Hartmut H/finer. Supercomputers: Where are the lost cycles ? Supercomputing, 1991.
[14]
J. J. Dongarra. Performance of various computers using standard linear equations software in a fortran environment. Technical Report CS-89-85, Univeristy of Tennesse, 1993.
[15]
G. Delic. Performance analysis of a 24 code sample on Cray X/Y-MP at the Ohio Supercomputer Center. In Proceedings of the 5th SIAM Conference on Parallel Processing for Scientific Applications, pages 530-535, 1991.
[16]
D. V. Pryor and P. J. Burns. Vectorized Monte Carlo Molecular Aerodynamics Simulation of the Reyleigh Problem. In Proceedings of Supercomputing'88, pages 384-391, Orlando, Florida, November 1988. IEEE Computer Society Press.
[17]
Roger Espasa and Mateo Valero. Decoupled vector architectures. In HPCA-~, pages 281-290. IEEE Computer Society Press, Feb 1996.
[18]
Roger Espasa, Mateo Valero, and James E. Smith. Out-oforder Vector Architectures. In MICRO-S0, pages 160-170. IEEE Press, December 1997.
[19]
Toni Juan, Tomas Lang, and Juan J. Navarro. The difference-bit cache. In Proceedings of the ~3rd Annual International Sympo. slum on Computer Architecture, pages 114-120, Philadelphia, Pennsylvania, May 22-24, 1996.
[20]
Roger Espasa, Mateo Valero, and James E. Smith. Out-of~ order Vector Architectures. Technical Report UPC-DAC-1996- 52, Univ. Polit~cnica de Catalunya-Barcelona, November 1996.
[21]
Roger Espasa and Mateo Valero. Multithreaded vector architectures. In HPCA-3, pages 237-249. IEEE Computer Society Press, Feb 1997.
[22]
Subbarao Palacharla, Norman P. Jouppi, and J. E. Smith. Complexity-effective superscalar processors. In Proceedings of the ~rd Annual International Symposium on Computer Architecture, pages 206-218, Denver, Colorado, June 2-4, 1997. ACM SiGARCH and IEEE Computer Society TCCA.
[23]
Roger Espasa and Mateo Valero. Exploiting Instruction- and Data- Level Parallelism. IEEE Micro, pages 20-27, September/October 1997.
[24]
William J. Dally. Tomorrow's computing engines (Keynote Speech). In HPCA-~, February 1998.
[25]
Alex Peleg and Uri Weiser. MMX Technology Extension to the intel Architecture. IEEE Micro, pages 42-50, August 1996.
[26]
Marc Tremblay, J. Michael O'Connor, Venkatesh Narayanan, and Liang He. VIS Speeds New Media Processing. IEEE Micro, pages 10-20, August 1996.
[27]
Luis Villa, Roger Espasa, and Mateo Valero. A Performance Study of Out-of-Order Vector Architectures and Short Registers. In ICS. ACM Press, July 1997.

Cited By

View all
  • (2023)Vitruvius+: An Area-Efficient RISC-V Decoupled Vector Coprocessor for High Performance Computing ApplicationsACM Transactions on Architecture and Code Optimization10.1145/357586120:2(1-25)Online publication date: 1-Mar-2023
  • (2023)Accelerating CNN inference on long vector architectures via co-design2023 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS54959.2023.00024(145-155)Online publication date: May-2023
  • (2023)Vector-Processing for Mobile Devices: Benchmark and Analysis2023 IEEE International Symposium on Workload Characterization (IISWC)10.1109/IISWC59245.2023.00036(15-27)Online publication date: 1-Oct-2023
  • Show More Cited By

Index Terms

  1. Vector architectures: past, present and future

                            Recommendations

                            Comments

                            Please enable JavaScript to view thecomments powered by Disqus.

                            Information & Contributors

                            Information

                            Published In

                            cover image ACM Conferences
                            ICS '98: Proceedings of the 12th international conference on Supercomputing
                            July 1998
                            464 pages
                            ISBN:089791998X
                            DOI:10.1145/277830
                            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                            Sponsors

                            Publisher

                            Association for Computing Machinery

                            New York, NY, United States

                            Publication History

                            Published: 13 July 1998

                            Permissions

                            Request permissions for this article.

                            Check for updates

                            Qualifiers

                            • Article

                            Conference

                            ICS98
                            Sponsor:

                            Acceptance Rates

                            Overall Acceptance Rate 629 of 2,180 submissions, 29%

                            Contributors

                            Other Metrics

                            Bibliometrics & Citations

                            Bibliometrics

                            Article Metrics

                            • Downloads (Last 12 months)586
                            • Downloads (Last 6 weeks)184
                            Reflects downloads up to 23 Sep 2024

                            Other Metrics

                            Citations

                            Cited By

                            View all
                            • (2023)Vitruvius+: An Area-Efficient RISC-V Decoupled Vector Coprocessor for High Performance Computing ApplicationsACM Transactions on Architecture and Code Optimization10.1145/357586120:2(1-25)Online publication date: 1-Mar-2023
                            • (2023)Accelerating CNN inference on long vector architectures via co-design2023 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS54959.2023.00024(145-155)Online publication date: May-2023
                            • (2023)Vector-Processing for Mobile Devices: Benchmark and Analysis2023 IEEE International Symposium on Workload Characterization (IISWC)10.1109/IISWC59245.2023.00036(15-27)Online publication date: 1-Oct-2023
                            • (2022)Sensor-level computer vision with pixel processor arrays for agile robotsScience Robotics10.1126/scirobotics.abl77557:67Online publication date: 29-Jun-2022
                            • (2022)Compiler-Assisted Compaction/Restoration of SIMD InstructionsIEEE Transactions on Parallel and Distributed Systems10.1109/TPDS.2021.309101533:4(779-791)Online publication date: 1-Apr-2022
                            • (2022)Micro-benchmarks Performance Analysis of Fujitsu ARM A64Fx and Intel Cascadelake Processor Nodes2022 3rd International Conference on Issues and Challenges in Intelligent Computing Techniques (ICICT)10.1109/ICICT55121.2022.10064546(1-6)Online publication date: 11-Nov-2022
                            • (2021)A More Portable HeFFTe: Implementing a Fallback Algorithm for Scalable Fourier Transforms2021 IEEE High Performance Extreme Computing Conference (HPEC)10.1109/HPEC49654.2021.9622811(1-5)Online publication date: 20-Sep-2021
                            • (2021)VIA: A Smart Scratchpad for Vector Units with Application to Sparse Matrix Computations2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA51647.2021.00081(921-934)Online publication date: Mar-2021
                            • (2021)CAPE: A Content-Addressable Processing Engine2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA51647.2021.00054(557-569)Online publication date: Mar-2021
                            • (2021)Using long vector extensions for MPI reductionsParallel Computing10.1016/j.parco.2021.102871109:COnline publication date: 30-Dec-2021
                            • Show More Cited By

                            View Options

                            View options

                            PDF

                            View or Download as a PDF file.

                            PDF

                            eReader

                            View online with eReader.

                            eReader

                            Get Access

                            Login options

                            Media

                            Figures

                            Other

                            Tables

                            Share

                            Share

                            Share this Publication link

                            Share on social media