Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2485922.2485924acmotherconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Flicker: a dynamically adaptive architecture for power limited multicore systems

Published: 23 June 2013 Publication History

Abstract

Future microprocessors may become so power constrained that not all transistors will be able to be powered on at once. These systems will be required to nimbly adapt to changes in the chip power that is allocated to general-purpose cores and to specialized accelerators.
This paper presents Flicker, a general-purpose multicore architecture that dynamically adapts to varying and potentially stringent limits on allocated power. The Flicker core microarchitecture includes deconfigurable lanes--horizontal slices through the pipeline--that permit tailoring an individual core to the running application with lower overhead than microarchitecture-level adaptation, and greater flexibility than core-level power gating.
To exploit Flicker's flexible pipeline architecture, a new online multicore optimization algorithm combines reduced sampling techniques, application of response surface models to online optimization, and heuristic online search. The approach efficiently finds a near-global-optimum configuration of lanes without requiring offline training, microarchitecture state, or foreknowledge of the workload. At high power allocations, core-level gating is highly effective, and slightly outperforms Flicker overall. However, under stringent power constraints, Flicker significantly outperforms core-level gating, achieving an average 27% performance improvement.

References

[1]
D. H. Albonesi et al. Dynamically Tuning Processor Resources with Adaptive Processing. IEEE Computer, December 2003.
[2]
R. I. Bahar and S. Manne. Power and Energy Reduction Via Pipeline Balancing. In Proceedings of the International Symposium on Computer Architecture, July 2001.
[3]
R. Balasubramonian, D. H. Albonesi, A. Buyuktosunoglu, and S. Dwarkadas. Memory Hierarchy Reconfiguration for Energy and Performance in General-Purpose Processor Architectures. In Proceedings of the International Symposium on Microarchitecture, December 2000.
[4]
R. Bergamaschi et al. Exploring Power Management in Multi-Core Systems. In Proceedings of the Asia and South Pacific Design Automation Conference, January 2008.
[5]
R. Bitirgen, E. Ipek, and J. F. Martinez. Coordinated Management of Multiple Interacting Resources in Chip Multiprocessors: A Machine Learning Approach. In Proceedings of the International Symposium on Microarchitecture, November 2008.
[6]
F. A. Bower, P. G. Shealy, S. Ozev, and D. J. Sorin. Tolerating Hard Faults in Microprocessor Array Structures. In Proceedings of the International Conference on Dependable Systems and Networks, June 2004.
[7]
G. E. Box and D. W. Behnken. Some New Three Level Designs for the Study of Quantitative Variables. Technometrics, November 1960.
[8]
D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A Framework for Architectural-Level Power Analysis and Optimizations. In Proceedings of the International Symposium on Computer Architecture, June 2000.
[9]
A. Buyuktosunoglu, T. Karkhanis, D. H. Albonesi, and P. Bose. Energy Efficient Co-Adaptive Instruction Fetch and Issue. In Proceedings of the International Symposium on Computer Architecture, June 2003.
[10]
J. Chen, L. K. John, and D. Kaseridis. Modeling Program Resource Demand using Inherent Program Characteristics. SIGMETRICS Perform. Eval. Rev., June 2011.
[11]
D.-S. Chiou, D.-C. Juan, Y.-T. Chen, and S.-C. Chang. Fine-Grained Sleep Transistor Sizing Algorithm for Leakage Power Minimization. In Proceedings of the Design Automation Conference, June 2007.
[12]
K. Deb. An Efficient Constraint Handling Method for Genetic Algorithms. In Computer Methods in Applied Mechanics and Engineering, June 2000.
[13]
G. Derringer and D. Suich. Simultaneous Optimization of Several Response Variables. Journal of Quality Technology, October 1980.
[14]
S. Dropsho et al. Integrating Adaptive On-Chip Storage Structures for Reduced Dynamic Power. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, September 2002.
[15]
C. Dubach, T. M. Jones, E. V. Bonilla, and M. F. P. O'Boyle. A Predictive Model for Dynamic Microarchitectural Adaptivity Control. In Proceedings of the International Symposium on Microarchitecture, December 2010.
[16]
Y. Eckert, S. Manne, M. J. Schulte, and D. A. Wood. Something Old and Something New: P-states Can Borrow Microarchitecture Techniques Too. In Proceedings of the International Symposium on Low Power Electronics and Design, September 2012.
[17]
H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger. Dark Silicon and the End of Multicore Scaling. In Proceedings of the International Symposium on Computer Architecture, June 2011.
[18]
D. Folegnani and A. González. Energy-Effective Issue Logic. In Proceedings of the International Symposium on Computer Architecture, July 2001.
[19]
D. Gibson and D. A. Wood. Forwardflow: A Scalable Core for Power-Constrained CMPs. In Proceedings of the International Symposium on Computer Architecture, June 2010.
[20]
H.-M. Gutmann. A Radial Basis Function Method for Global Optimization. Journal of Global Optimization, March 2001.
[21]
J. Harrington. The Desirability Function. In Industrial Quality Control, April 1965.
[22]
M. C. Huang, J. Renau, and J. Torrellas. Positional Adaptation of Processors: Application to Energy Reduction. In Proceedings of the International Symposium on Computer Architecture, 2003.
[23]
E. Ipek, O. Mutlu, J. F. Martínez, and R. Caruana. Self-Optimizing Memory Controllers: A Reinforcement Learning Approach. In Proceedings of the International Symposium on Computer Architecture, June 2008.
[24]
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. In Proceedings of the International Symposium on Microarchitecture, December 2006.
[25]
H. Jiang, M. Marek-Sadowska, and S. R. Nassif. Benefits and Costs of Power-Gating Technique. In Proceedings of the International Conference on Computer Design, October 2005.
[26]
Khubaib, M. Suleman, M. Hashemi, C. Wilkerson, and Y. N. Patt. MorphCore: An Energy-Efficient Microarchitecture for High Performance ILP and High Throughput TLP. In Proceedings of the International Symposium on Microarchitecture, December 2012.
[27]
S. Kim, S. Kosonocky, D. Knebel, and K. Stawiasz. Experimental Measurement of A Novel Power Gating Structure with Intermediate Power Saving Mode. In Proceedings of the International Symposium on Low Power Electronics and Design, August 2004.
[28]
R. Kumar and G. Hinton. A Family of 45nm IA Processors. In International Solid-State Circuits Conference, February 2009.
[29]
B. C. Lee and D. Brooks. Efficiency Trends and Limits from Comprehensive Microarchitectural Adaptivity. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, March 2008.
[30]
B. C. Lee and D. M. Brooks. Accurate and Efficient Regression Modeling for Microarchitectural Performance and Power Prediction. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, October 2006.
[31]
B. C. Lee, J. Collins, H. Wang, and D. Brooks. CPR: Composable Performance Regression for Scalable Multiprocessor Models. In Proceedings of the International Symposium on Microarchitecture, November 2008.
[32]
J. Li and J. Martinez. Dynamic Power-Performance Adaptation of Parallel Computation on Chip Multiprocessors. Proceedings of the International Symposium on High-Performance Computer Architecture, February 2006.
[33]
J. Mueller, C. Shoemaker, and R. Piche. SO-MI: A Surrogate Model Algorithm for Computationally Expensive Nonlinear Mixed-integer Black-box Global Optimization Problems. Computers and Operations Research, May 2013.
[34]
P. Petrica, J. A. Winter, and D. H. Albonesi. Dynamic Power Redistribution in Failure Prone CMPs. In Workshop on Energy Efficient Design, June 2010.
[35]
D. Ponomarev, G. Kucuk, and K. Ghose. Reducing Power Requirements of Instruction Scheduling through Dynamic Allocation of Multiple Datapath Resources. In Proceedings of the International Symposium on Microarchitecture, December 2001.
[36]
R. G. Regis and C. A. Shoemaker. Local Function Approximation in Evolutionary Algorithms for the Optimization of Costly Functions. IEEE Transactions on Evolutionary Computation, October 2004.
[37]
R. G. Regis and C. A. Shoemaker. A Stochastic Radial Basis Function Method for the Global Optimization of Expensive Functions. INFORMS Journal on Computing, Fall 2007.
[38]
R. G. Regis and C. A. Shoemaker. Combining Radial Basis Function Surrogates and Dynamic Coordinate Search in High-dimensional Expensive Black-box Optimization. Engineering Optimization, May 2013.
[39]
J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, S. Sarangi, P. Sack, K. Strauss, and P. Montesinos. SESC Simulator. http://sesc.sourceforge.net. 2005.
[40]
J. Sharkey, A. Buyuktosunoglu, and P. Bose. Evaluating Design Tradeoffs in On-Chip Power Management for CMPs. In Proceedings of the International Symposium on Low Power Electronics and Design, August 2007.
[41]
K. Shi and D. Howard. Sleep Transistor Design and Implementation - Simple Concepts Yet Challenges To Be Optimum. In International Symposium on VLSI Design, April 2006.
[42]
D. Tarjan, S. Thoziyoor, and N. Jouppi. Cacti 5.3. HP Laboratories Palo Alto Technical Report, 2005.
[43]
R. Teodorescu and J. Torrellas. Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors. In Proceedings of the International Symposium on Computer Architecture, June 2008.
[44]
G. Venkatesh et al. Conservation Cores: Reducing the Energy of Mature Computations. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, March 2010.
[45]
Y. Watanabe, J. D. Davis, and D. A. Wood. WiDGET: Wisconsin Decoupled Grid Execution Tiles. In Proceedings of the International Symposium on Computer Architecture, June 2010.
[46]
T. F. Wenisch, R. E. Wunderlich, M. Ferdman, A. Ailamaki, B. Falsafi, and J. C. Hoe. SimFlex: Statistical Sampling of Computer System Simulation. IEEE Micro, July/August 2006.
[47]
C. F. J. Wu and M. S. Hamada. Experiments: Planning, Analysis, and Optimization. John Wiley and Sons, Inc., 2009.
[48]
J. Yi, D. Lilja, and D. Hawkins. A Statistically Rigorous Approach for Improving Simulation Methodology. In Proceedings of the International Symposium of High-Performance Computer Architecture, February 2003.
[49]
Y. Zhang, D. Parikh, K. Sankaranarayanan, K. Skadron, and M. Stan. HotLeakage: A Temperature-Aware Model of Subthreshold and Gate Leakage for Architects. In University of Virginia Technical Report CS-2003-05, 2003.

Cited By

View all
  • (2023)Dynamic power budget redistribution under a power cap on multi-application environmentsSustainable Computing: Informatics and Systems10.1016/j.suscom.2023.10086538(100865)Online publication date: Apr-2023
  • (2023)Fault-Tolerant General Purposed ProcessorsBuilt-in Fault-Tolerant Computing Paradigm for Resilient Large-Scale Chip Design10.1007/978-981-19-8551-5_3(117-168)Online publication date: 2-Mar-2023
  • (2023)Post-Silicon Customization Using Deep Neural NetworksArchitecture of Computing Systems10.1007/978-3-031-42785-5_9(120-136)Online publication date: 26-Aug-2023
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Other conferences
ISCA '13: Proceedings of the 40th Annual International Symposium on Computer Architecture
June 2013
686 pages
ISBN:9781450320795
DOI:10.1145/2485922
  • cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 41, Issue 3
    ICSA '13
    June 2013
    666 pages
    ISSN:0163-5964
    DOI:10.1145/2508148
    Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

  • IEEE CS

In-Cooperation

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 23 June 2013

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Research-article

Funding Sources

Conference

ISCA'13
Sponsor:

Acceptance Rates

ISCA '13 Paper Acceptance Rate 56 of 288 submissions, 19%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)20
  • Downloads (Last 6 weeks)3
Reflects downloads up to 10 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Dynamic power budget redistribution under a power cap on multi-application environmentsSustainable Computing: Informatics and Systems10.1016/j.suscom.2023.10086538(100865)Online publication date: Apr-2023
  • (2023)Fault-Tolerant General Purposed ProcessorsBuilt-in Fault-Tolerant Computing Paradigm for Resilient Large-Scale Chip Design10.1007/978-981-19-8551-5_3(117-168)Online publication date: 2-Mar-2023
  • (2023)Post-Silicon Customization Using Deep Neural NetworksArchitecture of Computing Systems10.1007/978-3-031-42785-5_9(120-136)Online publication date: 26-Aug-2023
  • (2022)Amphis: Managing Reconfigurable Processor Architectures With Generative Adversarial LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319798041:11(3993-4003)Online publication date: Nov-2022
  • (2022)AgileWatts: An Energy-Efficient CPU Core Idle-State Architecture for Latency-Sensitive Server Applications2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO56248.2022.00063(835-850)Online publication date: Oct-2022
  • (2022)DarkGates: A Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA53966.2022.00089(1170-1183)Online publication date: Apr-2022
  • (2022)Dynamic thread mapping for power-efficient many-core systems under performance constraintsMicroprocessors & Microsystems10.1016/j.micpro.2022.10461493:COnline publication date: 1-Sep-2022
  • (2022)Applying Game-Learning Environments to Power Capping Scenarios via Reinforcement LearningCloud Computing, Big Data & Emerging Topics10.1007/978-3-031-14599-5_7(91-106)Online publication date: 5-Aug-2022
  • (2021)Intelligent Management of Mobile Systems Through Computational Self-AwarenessHandbook of Research on Methodologies and Applications of Supercomputing10.4018/978-1-7998-7156-9.ch004(41-73)Online publication date: 2021
  • (2021)SparseAdapt: Runtime Control for Sparse Linear Algebra on a Reconfigurable AcceleratorMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480134(1005-1021)Online publication date: 18-Oct-2021
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media